The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for branches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1977 (15) 1978-1986 (16) 1987-1988 (22) 1989 (16) 1990-1991 (23) 1992-1993 (29) 1994 (32) 1995 (31) 1996 (24) 1997 (31) 1998 (31) 1999 (75) 2000 (60) 2001 (52) 2002 (83) 2003 (84) 2004 (116) 2005 (125) 2006 (149) 2007 (188) 2008 (166) 2009 (96) 2010 (26) 2011-2012 (30) 2013 (15) 2014-2015 (34) 2016 (22) 2017 (31) 2018 (37) 2019 (31) 2020 (35) 2021 (37) 2022 (44) 2023 (36) 2024 (5)
Publication types (Num. hits)
article(603) data(5) incollection(5) inproceedings(1230) phdthesis(4)
Venues (Conferences, Journals, ...)
MICRO(38) CoRR(34) ISCA(34) IEEE Trans. Commun.(31) IEEE Trans. Wirel. Commun.(31) HPCA(25) PLDI(19) VTC Spring(17) IEEE Access(15) IEEE Trans. Comput. Aided Des....(15) ICS(14) IEEE Trans. Computers(14) GECCO(12) ICCD(12) ISBI(12) DAC(11) More (+10 of total 879)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1344 occurrences of 952 keywords

Results
Found 1847 publication records. Showing 1847 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
106Hyesoon Kim, Onur Mutlu, Jared Stark, Yale N. Patt Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
82Erik Jacobsen, Eric Rotenberg, James E. Smith 0001 Assigning Confidence to Conditional Branch Predictions. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF branch correctness, conditional branch predictions, dynamic branches, processor resources, static branches, resource allocation
77Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Scheduling of conditional branches using SSA form for superscalar/VLIW processors. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF conditional branches scheduling, very long instruction word processors, compensation code, optimization, computational complexity, complexity, parallel architectures, processor scheduling, superscalar processors, instruction sets, instruction set, VLIW processors, code motion, global scheduling, conditional branches, SSA
75Minghui Yang, Gang-Ryung Uh, David B. Whalley Efficient and effective branch reordering using profile data. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF branch reordering, profiling, Conditional branches
71Hyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark Wish Branches: Enabling Adaptive and Aggressive Predicated Execution. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wish branches, wish loops, branch prediction, predicated execution
71Patrick Felke On the Affine Transformations of HFE-Cryptosystems and Systems with Branches. Search on Bibsonomy WCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nonassociative algebra, mixed centralizer, finite fields, affine transformations, branches, HFE
65Shunsuke Ota, Daisuke Deguchi, Takayuki Kitasaka, Kensaku Mori, Yasuhito Suenaga, Yoshinori Hasegawa, Kazuyoshi Imaizumi, Hirotsugu Takabatake, Masaki Mori, Hiroshi Natori Augmented Display of Anatomical Names of Bronchial Branches for Bronchoscopy Assistance. Search on Bibsonomy MIAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bronchus, anatomical labeling, bronchoscopy guidance, virtual bronchoscopy, chest CT image, multi-class AdaBoost
65Nicholas J. Wang, Michael Fertig, Sanjay J. Patel Y-Branches: When You Come to a Fork in the Road, Take It. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
61Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
58Hyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF devirtualization, indirect branch prediction, virtual functions
58Hyontai Sug Reducing on the Number of Testing Items in the Branches of Decision Trees. Search on Bibsonomy ICCSA (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57C. Strantza, X. Damaskos, Michael Doumpos, Constantin Zopounidis A multicriteria methodology for developing a performance measurement model for bank branches. Search on Bibsonomy Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Bank branches efficiency, Linear programming, Regression, Bootstrap
57Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park Eliminating Conditional Branches for Enhancing Instruction Level Parallelism in VLIW Compiler. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Compiler, Instruction Level Parallelism, VLIW, Superscalar, Conditional Branches
55Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Kensaku Mori, Shunsuke Ota, Daisuke Deguchi, Takayuki Kitasaka, Yasuhito Suenaga, Shingo Iwano, Yosihnori Hasegawa, Hirotsugu Takabatake, Masaki Mori, Hiroshi Natori Automated Anatomical Labeling of Bronchial Branches Extracted from CT Datasets Based on Machine Learning and Combination Optimization and Its Application to Bronchoscope Guidance. Search on Bibsonomy MICCAI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
51Pavel Loskot, Norman C. Beaulieu Decorrelation and Orthogonalization of Correlated Diversity Branches for HS/MRC Diversity. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Paul Ho, Raymond Kwan, Xianbin Wang 0001 Switching Rate of Generalized Selection Combining with Non-Identical Branches in Rayleigh Fading Channels. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Reinaldo A. Valenzuela, Luciano Ahumada, Rodolfo Feick The Effect of Unbalanced Branches on the Performance of Diversity Receivers for Urban Fixed Wireless Links. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Arpad Gellert, Adrian Florea, Maria N. Vintan, Colin Egan, Lucian N. Vintan Unbiased Branches: An Open Problem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF unbiased branch, branch difference value prediction, Branch prediction
51Manuel Lois Anido, Alexander Paar, Nader Bagherzadeh Improving the Operation Autonomy of SIMD Processing Elements by Using Guarded Instructions and Pseudo Branches. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Kensaku Mori, Jun-ichi Hasegawa, Yasuhito Suenaga, Jun-ichiro Toriwaki, Hirofumi Anno, Kazuhiro Katada Automated Labeling of Bronchial Branches in Virtual Bronchoscopy System. Search on Bibsonomy MICCAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49Minghui Yang, Gang-Ryung Uh, David B. Whalley Improving Performance by Branch Reordering. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
47Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Improving accuracy in path delay fault coverage estimation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault coverage estimation, simulated vector pair, exact fault simulation, fixed-length path-segments, fan-in branches, fan-out branches, flagged path-segments, segment lengths, combinational paths, graph theory, fault diagnosis, logic testing, delays, combinational circuits, logic CAD, circuit analysis computing, path delay fault, approximate methods, CPU time
44Carlos Andradas, Tomás Recio Plotting missing points and branches of real parametric curves. Search on Bibsonomy Appl. Algebra Eng. Commun. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 14-Q05, 68-W30
44Lucian N. Vintan, Arpad Gellert, Adrian Florea, Marius Oancea, Colin Egan Understanding Prediction Limits Through Unbiased Branches. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Miroslav N. Velev Formal Verification of Pipelined Microprocessors with Delayed Branches. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Renju Thomas, Manoj Franklin, Chris Wilkerson, Jared Stark Improving Branch Prediction by Dynamic Dataflow-Based Identification of Correlated Branches from a Large Global History. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Meng Yang 0011, Bin Sheng 0001, Enhua Wu, Hanqiu Sun Multi-resolution tree motion synthesis in angular shell space. Search on Bibsonomy VRCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PTMC, frequency decomposition, shell dynamics, vibration
42Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg An experimental study of sorting and branch prediction. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caching, Sorting, branch prediction, pipeline architectures
42Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42William C. Kreahling, David B. Whalley, Mark W. Bailey, Xin Yuan 0001, Gang-Ryung Uh, Robert van Engelen Branch Elimination via Multi-variable Condition Merging. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Rafael R. dos Santos, Tatiana Gadelha Serra dos Santos, Maurício L. Pilla, Philippe Olivier Alexandre Navaux, Sergio Bampi, Mario Nemirovsky Complex Branch Profiling for Dynamic Conditional Execution. Search on Bibsonomy SBAC-PAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Tao Li 0006, Ravi Bhargava, Lizy Kurian John Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Jae Weon Hong, Won Eui Hong, Yoon-Sik Kwak The Study of Development Strategy for Bank Distribution Network through the Analysis of Inter-regional Financial Transaction Network. Search on Bibsonomy FGIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Distribution network strategies, bank branches
41Kishore N. Menezes, Sumedh W. Sathaye, Thomas M. Conte Path Prediction for High Issue-Rate Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high issue-rate processors, path prediction, issue bandwidth, multiple branches, path prediction automaton, arbitrary subgraphs, scalability single access prediction, low hardware cost, instruction-level parallelism, pipeline processing, speculative execution, cycle, performance improvement
41Michael Jackson 0001 Problems, Methods and Specialization. Search on Bibsonomy IEEE Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF software methods, software problems, software specialization, specialized software engineering branches, software engineering, software development, engineering
41Augustus K. Uht Requirements for Optimal Execution of Loops with Tests. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF loops with tests, branch intensive code, dynamic branches, Booleanrecurrence, order one, dependence cycle, time cycle, imperative code, parallelprogramming, loop iterations
41Antonio González 0001, José M. Llabería Instruction fetch unit for parallel execution of branch instructions. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF instruction cache memory, zero time cost branches, pipelined processors, control dependencies, branch instructions
37Kensaku Mori, Sinya Ema, Takayuki Kitasaka, Yoshito Mekada, Ichiro Ide, Hiroshi Murase, Yasuhito Suenaga, Hirotsugu Takabatake, Masaki Mori, Hiroshi Natori Automated Nomenclature of Bronchial Branches Extracted from CT Images and Its Application to Biopsy Path Planning in Virtual Bronchoscopy. Search on Bibsonomy MICCAI (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Carlos Oliver-Morales, Katya Rodríguez-Vázquez Symbolic Regression Problems by Genetic Programming with Multi-branches. Search on Bibsonomy MICAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Katya Rodríguez-Vázquez, Carlos Oliver-Morales Multi-branches Genetic Programming as a Tool for Function Approximation. Search on Bibsonomy GECCO (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36José Carlos Metrôlho, Mónica Isabel Teixeira Costa Branches of professional organizations a way to enrich student's scientific and personal skills. Search on Bibsonomy ITiCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF student branches, pedagogy
36Donald F. Towsley Correction to "Allocating Programs Containing Branches and Loops Within a Multiple Processor System". Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF typographical errors, scheduling, operating systems (computers), loops, branches, multiple processor system
36Fred Kaudel Comments on "Allocating Programs Containing Branches and Loops Within a Multiple Processor System". Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF programs allocation, assignment algorithms, scheduling, operating systems (computers), loops, branches, multiple processor system
35Magnus Björk First Order Stålmarck. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Stålmarck’s method, Universal lemmas, First order logic, Automated theorem proving, Intersections
35Kyle N. Sivertsen, Anthony E.-L. Liou, David G. Michelson Depolarization of Propagating Signals by Narrowband Ricean Fading Channels. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Nikzad Babaii Rizvandi, Aleksandra Pizurica, Wilfried Philips Automatic Individual Detection and Separation of Multiple Overlapped Nematode Worms Using Skeleton Analysis. Search on Bibsonomy ICIAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Overlap worms, Skeleton angle analysis, Computer vision, Image processing, Worm detection
35Gül Gökay Emel, Çagatan Taskin A Two-Stage Approach for Improving Service Management in Retail Banking. Search on Bibsonomy OR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Finance and Banking, Data Mining, Data Envelopment Analysis
35Xiang Bai, Longin Jan Latecki, Wenyu Liu 0001 Skeleton Pruning by Contour Partitioning. Search on Bibsonomy DGCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF discrete curve evolution, Skeleton, skeleton pruning
35Ramesh Annavajjala, Ananthanarayanan Chockalingam, Laurence B. Milstein Further results on selection combining of binary NCFSK signals in Rayleigh fading channels. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Ernst Kleiberg, Huub van de Wetering, Jarke J. van Wijk Botanical Visualization of Huge Hierarchies. Search on Bibsonomy INFOVIS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF botanical tree, logical tree, huge hierarchy, directory tree, phyllotaxis, tree visualization, strands
35Simonjit Dutta, Manoj Franklin Control Flow Prediction Schemes for Wide-Issue Superscalar Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Block-level prediction, multiple-issue processors, multiple-branch prediction, tree-level prediction, speculative execution, trace cache, instruction-level parallelism (ILP)
35Scott A. Mahlke, Richard E. Hank, Roger A. Bringmann, John C. Gyllenhaal, David M. Gallagher, Wen-mei W. Hwu Characterizing the impact of predicated execution on branch prediction. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Dragan Milicev, Zoran Jovanovic A Formal Model of Software Pipelining Loops with Conditions. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF software pipelining loops, PSP model, parallel programming, finite state machine, formal model, software pipelining, parallelizing loops, conditional branches
30Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou Address-branch correlation: A novel locality for long-latency hard-to-predict branches. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Tao Wei, Jian Mao, Wei Zou, Yu Chen Structuring 2-way Branches in Binary Executables. Search on Bibsonomy COMPSAC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Eryk Laskowski, Marek Tudruj Scheduling Programs with Conditional Branches for Look-Ahead Dynamically Reconfigurable Systems. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30B. Ugur Töreyin, A. Enis Çetin Wavelet based detection of moving tree branches and leaves in video. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Marta S. R. Monteiro, Dalila B. M. M. Fontes Locating and Sizing Bank-Branches by Opening, Closing or Maintaining Facilities. Search on Bibsonomy OR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Bank-branch, Concave Optimization, Heuristics, Location
30Yi-Kuei Lin Reliability of a stochastic-flow network with unreliable branches & nodes, under budget constraints. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Norman Ramsey, Cristina Cifuentes A transformational approach to binary translation of delayed branches. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF program transformation, program analysis, Binary translation
30Katya Rodríguez-Vázquez, Carlos Oliver-Morales Divide and Conquer: Genetic Programming Based on Multiple Branches Encoding. Search on Bibsonomy EuroGP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Mark G. Stoodley, Corinna G. Lee Software Pipelining Loops with Conditional Branches. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Ranjan K. Mallik, Swati Jain, Rohit K. Garodia Performance of the decorrelating multiuser detector in a correlated fading environment. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Ping Tan, Tian Fang, Jianxiong Xiao, Peng Zhao, Long Quan Single image tree modeling. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Kshitiz Malik, Mayank Agarwal, Vikram Dhar, Matthew I. Frank PaCo: Probability-based path confidence prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Christophe Paul, Andrzej Proskurowski, Jan Arne Telle Generation of Graphs with Bounded Branchwidth. Search on Bibsonomy WG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Fei Gao, Suleyman Sair Exploiting Intra-function Correlation with the Global History Stack. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Marc Berndl, Benjamin Vitale, Mathew Zaleski, Angela Demke Brown Context Threading: A Flexible and Efficient Dispatch Technique for Virtual Machine Interpreters. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Daniel Chonghwan Lee, Lih-feng Tsaur A proof that uncorrelated branch SNRs yield the lowest error rate for MRC receivers operating over Nakagami-m-fading channels. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Makoto Okabe, Takeo Igarashi 3D modeling of trees from freehand sketches. Search on Bibsonomy SIGGRAPH The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero A Comprehensive Analysis of Indirect Branch Prediction. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer
28Waleed Meleis, Alexandre E. Eichenberger, Ivan D. Baev Scheduling Superblocks with Bound-Based Branch Trade-Offs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ILP compiler technique, lower bound, scheduling heuristic, Superblock
28Harish Patil, Joel S. Emer Combining Static and Dynamic Branch Prediction to Reduce Destructive Aliasing. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Conditional branch prediction, static branch prediction, profile-based optimization
28Alexandre E. Eichenberger, Waleed Meleis Balance Scheduling: Weighting Branch Tradeoffs in Superblocks. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ILP compiler technique, weighted completion time, lower bound, scheduling heuristic, Superblock
28Robert Bernecky EGREGION: a branch coverage tool for APL. Search on Bibsonomy APL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Marius Evers, Sanjay J. Patel, Robert S. Chappell, Yale N. Patt An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Taewhan Kim, Noritake Yonezawa, Jane W.-S. Liu, C. L. Liu 0001 A scheduling algorithm for conditional resource sharing-a hierarchical reduction approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Miao Wang, Rongcai Zhao, Jianmin Pang, Guoming Cai Reconstructing Control Flow in Modulo Scheduled Loops. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register rotation, modulo scheduling, decompilation, predication execution, conditional branches
27Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan Power-Aware Branch Prediction: Characterization and Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking
27Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra Dynamic Branch Decoupled Architecture. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF dynamic, decoupling, branches
27Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
27Siamak Arya, Howard Sachs, Sreeram Duvvuru An architecture for high instruction level parallelism. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution
27Jayesh Siddhiwala, Liang-Fang Chao Scheduling conditional data-flow graphs with resource sharing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF conditional data-flow graphs, resource sharing algorithm, pipeline scheduling algorithms, loop constructs, condition vector, dynamic resource sharing, rotation scheduling technique, parallel algorithms, data structures, data structure, resource allocation, high level synthesis, high level synthesis, processor scheduling, pipeline processing, data flow graphs, loop pipelining, conditional branches
27Víctor H. Champac, Joan Figueras Testability of floating gate defects in sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floating gate defect testability, logic detectability conditions, defective transistors, logically untestable branches, scan path cell, CMOS latch cell, scan path flip-flops, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, simulated results, flip-flops, CMOS logic circuits, integrated circuit modelling, I/sub DDQ/ testing
27Keerthi Heragu, Vishwani D. Agrawal, Michael L. Bushnell Statistical methods for delay fault coverage analysis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay fault coverage analysis, true value simulation, multi-value logic system, implicit random path sampling procedure, linear-time estimate, fault coverage estimates, longest path theorem, fanout branches, fault diagnosis, logic testing, delays, probability, statistical analysis, observabilities, multivalued logic, propagation delay, detection probabilities, statistical techniques, transition probabilities
23Suhyun Kim, Soo-Mook Moon Rotating register allocation with multiple rotating branches. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rotating register, register allocation, software pipelining
23Zhang-Lin Cheng, Xiaopeng Zhang 0001, Baoquan Chen Simple Reconstruction of Tree Branches from a Single Range Image. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cylinder fitting, generalized circular cylinder, tree branch modeling, skeleton
23Antonio Zenteno Ramírez, Guillermo Espinosa, Víctor H. Champac Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Jesús T. Pastor, C. A. Knox Lovell, Henry Tulkens Evaluating the financial performance of bank branches. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Variable deletion tests, Banking, Performance indicators
23Hyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N. Patt 2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Fredy Rivera, Milagros Fernández, Nader Bagherzadeh An Approach to Execute Conditional Branches onto SIMD Multi-Context Reconfigurable Architectures. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Eryk Laskowski, Marek Tudruj Task Scheduling for Look-Ahead Reconfigurable Systems in Presence of Conditional Branches. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Nirmal Kumar Das, Takashi Inoue, Tetsuki Taniguchi, Yoshio Karasawa An experiment on MIMO system having three orthogonal polarization diversity branches in multipath-rich environment. Search on Bibsonomy VTC Fall (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea An alternative to branch prediction: pre-computed branches. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction
23André Galligo, David Rupprecht Semi-numerical determination of irreducible branches of a reduced space curve. Search on Bibsonomy ISSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Franco Gasperoni, Uwe Schwiegelshohn List Scheduling in the Presence of Branches: A Theoretical Evaluation. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Wen-mei W. Hwu, Thomas M. Conte, Pohua P. Chang Comparing Software and Hardware Schemes For Reducing the Cost of Branches. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg EXACT: explicit dynamic-branch prediction with active updates. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF branch prediction, microarchitecture, superscalar processors
21Kitty K. Y. Wong, Peter J. McLane Reduced-complexity equalization techniques for ISI and MIMO wireless channels in iterative decoding. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1847 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license