The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for busses with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1990 (20) 1991-1995 (16) 1996-1998 (19) 1999-2000 (19) 2001-2002 (18) 2003-2004 (22) 2005 (15) 2006 (17) 2007 (18) 2008-2011 (15) 2013-2022 (7)
Publication types (Num. hits)
article(28) book(1) incollection(1) inproceedings(156)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 161 occurrences of 136 keywords

Results
Found 186 publication records. Showing 186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
65Himanshu Kaul, Jae-sun Seo, Mark A. Anders 0001, Dennis Sylvester, Ram Krishnamurthy 0001 A robust alternate repeater technique for high performance busses in the multi-core era. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSM busses, error-correction, crosstalk noise, bus encoding
52Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli Mixed Techniques to Protect Precharged Busses against Differential Power Analysis Attacks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Lars A. Schreiner, Markus Olbrich, Erich Barke, Volker Meyer zu Bexten Routing of analog busses with parasitic symmetry. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog routing, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout
52L. Di Silvio, Daniele Rossi 0001, Cecilia Metra Crosstalk Effect Minimization for Encoded Busses. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Luca Benini, Giovanni De Micheli, Enrico Macii, Donatella Sciuto, Cristina Silvano Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
52Baher Haroun, Behzad Sajjadi Synthesis of Signal Processing Structured Datapaths for FPGAs Supporting RAMs and Busses. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
52Friedhelm Meyer auf der Heide, Hieu Thien Pham On the Performance of Networks with Multiple Busses. Search on Bibsonomy STACS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
51Nick A. Mould, Brian F. Veale, John K. Antonio, Monte P. Tull, John R. Junger Design of steering vectors for dynamically reconfigurable architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
51Wissam Hlayhel, Jacques Henri Collet, Laurent Fesquet Implementing Snoop-Coherence Protocol for Future SMP Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Paul Metzgen, Dominic Nancekievill Multiplexer restructuring for FPGA implementation cost reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF busses, recoding, FPGA, synthesis, multiplexers, restructuring, logic optimization
42Sumant Ramprasad, Naresh R. Shanbhag, Ibrahim N. Hajj Achievable bounds on signal transition activity. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF achievable bounds, busses, Low power, information theory, power estimation, CMOS circuits, switching activity
42C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
39Daniele Rossi 0001, André K. Nieuwland, Steven V. E. S. van Dijk, Richard P. Kleihorst, Cecilia Metra Power Consumption of Fault Tolerant Busses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Matthew A. Smith, Lars A. Schreiner, Erich Barke, Volker Meyer zu Bexten Algorithms for automatic length compensation of busses in analog integrated circuits. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog routing, length compensation, net bundles, paired nets, virtual terminals, routing, EDA, RF, bus routing, IC-layout
39Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli Techniques to Enhance the Resistance of Precharged Busses to Differential Power Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Bassel Soudan Reducing Inductive Coupling Skew in Wide Global Signal Busses. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39André K. Nieuwland, Atul Katoch, Daniele Rossi 0001, Cecilia Metra Coding Techniques for Low Switching Noise in Fault Tolerant Busses. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Jonathan Hops, Brian Swing, Brian Phelps, Bruce Sudweeks, John Pane, James Kinslow Non-Deterministic DUT Behavior During Functional Testing of High Speed Serial Busses: Challenges and Solutions. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39E. Malley, Ariel Salinas, Kareem Ismail, Lawrence T. Pileggi Power Comparison of Throughput Optimized IC Busses. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Helge Scheidig, M. F. Schneider, R. Spurk Efficient and Scalable Logic Busses for Message-Passing Interconnection Networks. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
38Ahmed Elkammar, Norman Scheinberg, Srinivasa Vemuru Bus Encoding Scheme To Eliminate Unwanted Signal Transitions. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Håvard Kolle Riis, Philipp Häfliger An Asynchronous 4-to-4 AER Mapper. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Marek Tudruj, Lukasz Masko A Parallel System Architecture Based on Dynamically Configurable Shared Memory Clusters. Search on Bibsonomy PPAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Wissam Hlayhel, Daniel Litaize, Laurent Fesquet, Jacques Henri Collet Optical versus Electronic Bus for Address-Transactions in Future SMP Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Bandwidth requirement, SMP architecture, optical bus, shared bus
38Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh 0001 Extensions to Programmable DSP architectures for Reduced Power Dissipation. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Low Power Design, DSP Architecture
38Friedhelm Meyer auf der Heide, Klaus Schröder, Frank Schwarze Routing on Networks of Optical Crossbars (Extended Abstract). Search on Bibsonomy Euro-Par, Vol. I The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Luca Muratori, Lorenzo Peretto, Beatrice Pulvirenti, Raffaella Di Sante, Giovanni Bottiglieri, Federico Coiro A vehicle integrated thermal management system for electric busses. Search on Bibsonomy MetroAutomotive The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
27Markus Linnartz, Yasmin Dufner, Nicola Fricke Information Presentation in Autonomous Shuttle Busses: -What and How? Search on Bibsonomy ArtsIT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
27Ubaid Mehmood, Irene Moser, Prem Prakash Jayaraman, Abhik Banerjee Occupancy Estimation using WiFi: A Case Study for Counting Passengers on Busses. Search on Bibsonomy WF-IoT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Guilherme Valle Loures Brandão, Wilian Daniel Henriques do Amaral, Caio Augusto Rabite de Almeida, Jose Alberto Barroso Castañon Simplified Thermal Comfort Evaluation on Public Busses for Performance Optimization. Search on Bibsonomy HCI (20) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
27Melike Erdogan, Ihsan Kaya Evaluating Alternative-Fuel Busses for Public Transportation in Istanbul Using Interval Type-2 Fuzzy AHP and TOPSIS. Search on Bibsonomy J. Multiple Valued Log. Soft Comput. The full citation details ... 2016 DBLP  BibTeX  RDF
27Sebastian Wagner, Gunter Nitzsche Advanced steer-by-wire system for worlds longest busses. Search on Bibsonomy ITSC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
27Francesco Biral, Marco Galvani, Michele Zucchelli, Giuliano Giacomelli Objective performance evaluation on mountain routes of diesel-electric hybrid busses. Search on Bibsonomy ICM The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
27Bassel Soudan The effect of SRNR on timing characteristics of signal busses. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Bassel Soudan Reducing signal timing variations in inter-core busses. Search on Bibsonomy Integr. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
27Massimo Alioto, Massimo Poli, Santina Rocchi, Valerio Vignoli A General Model of DPA Attacks to Precharged Busses in Symmetric-Key Cryptographic Algorithms. Search on Bibsonomy ECCTD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Rolf Ernst, Gernot Spiegelberg, Thomas Weber 0002, Hermann Kopetz, Alberto L. Sangiovanni-Vincentelli, Marek Jersak Automotive networks: are new busses and gateways the answer or just another challenge? Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF automotive networks
27Ketan N. Patel, Igor L. Markov Error-correction and crosstalk avoidance in DSM busses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Bassel Soudan Managing inductive coupling in wide signal busses. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
27Daniele Rossi 0001, A. Muccio, André K. Nieuwland, Atul Katoch, Cecilia Metra Impact of ECCs on Simultaneously Switching Output Noise for On-Chip Busses of High Reliability Systems. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Bassel Soudan Reducing mutual inductance of wide signal busses through swizzling. Search on Bibsonomy ICECS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Abdelkader El Kamel, Jean-Yves Dieulot, Pierre Borne Fuzzy controller for lateral guidance of busses. Search on Bibsonomy ISIC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27William J. Buchanan Computer busses - design and application. Search on Bibsonomy 2000   RDF
27Sumant Ramprasad, Naresh R. Shanbhag, Ibrahim N. Hajj A coding framework for low-power address and data busses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Sumant Ramprasad, Naresh R. Shanbhag, Ibrahim N. Hajj Coding for Low-Power Address and Data Busses: A Source-Coding Framework and Applications. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Windsor W. Hsu, Jih-Kwon Peir Busses. Search on Bibsonomy The Computer Science and Engineering Handbook The full citation details ... 1997 DBLP  BibTeX  RDF
27Alberto Aloisio, S. Cavaliere, F. Cevenini, L. Merola, D. J. Fiore Custom busses for large scale data acquisition systems. Search on Bibsonomy ICECS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Shlomo Kipnis Analysis of Asynchronous Binary Arbitration on Digital Transmission-Line Busses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Mary L. Bailey, Michael A. Pagels, Kachung Kevin Wong How using busses in multicomputer programs affects conservative parallel simulation. Search on Bibsonomy PADS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Roger B. Hughes, M. D. Francis, Simon Finn, Gerry Musgrave Formal Tools in Tri-State Design in Busses. Search on Bibsonomy TPHOLs The full citation details ... 1992 DBLP  BibTeX  RDF
27Shlomo Kipnis Analysis of Asynchronous Binary Arbitration on Digital-Transmission-Line Busses. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Christian Ewering A New Allocation Method for the Synthesis of Partitioned Busses. Search on Bibsonomy Rechnergestützter Entwurf und Architektur mikroelektronischer Systeme The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27K. Braun, Erwin M. Thurner Designüberlegegungen und Konzept eines konfigurierbaren lokalen Busses für Spezialprozessoren. Search on Bibsonomy ARCS The full citation details ... 1990 DBLP  BibTeX  RDF
27Christian Ewering Automatic High Level Syntesis of Partitioned Busses. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27Zicheng Guo, Rami G. Melhem Embedding pyramids in array processors with pipelined busses. Search on Bibsonomy ASAP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27D. Simon Finding the Trap Door Through Patent Protection of Busses. Search on Bibsonomy COMPCON The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
25Sudeep Pasricha, Nikil D. Dutt COSMECA: application specific co-synthesis of memory and communication architectures for MPSoC. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Wolfgang Klingauf, Robert Günzel, Oliver Bringmann 0001, Pavel Parfuntseu, Mark Burton GreenBus: a generic interconnect fabric for transaction level modelling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SoC, SystemC, TLM, on-chip communication
25Brock J. LaMeres, Sunil P. Khatri Performance model for inter-chip communication considering inductive cross-talk and cost. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Atul Katoch, Harry J. M. Veendrick, Evert Seevinck High speed current-mode signaling circuits for on-chip interconnects. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25A. T. Sivaram, Masashi Shimanouchi, Howard Maassen, Robert Jackson Tester Architecture For The Source Synchronous Bus. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Marek Tudruj, Lukasz Masko Program Execution Control for Communication on the Fly in Dynamic Shared Memory Processor Clusters. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Diagnosing the Interconnect of Bus-Connected Multi-RAM Systems under Restricted and General Fault Models. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25David J. Greaves A Verilog to C Compiler. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Vijay Sundararajan, Keshab K. Parhi Reducing bus transition activity by limited weight coding with codeword slimming. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Michael Mock, Edgar Nett Real-Time Communication in Autonomous Robot Systems. Search on Bibsonomy ISADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple-access bus, TDMA, control system, real-time communication, robotic system
25Jun Zhao 0005, Fred J. Meyer, Fabrizio Lombardi Interconnect Diagnosis of Bus-Connected Multi-RAM Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Ingrid Verbauwhede, Mihran Touriguian A Low Power DSP Engine for Wireless Communications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Robert W. Horst TNet: A Reliable System Area Network. Search on Bibsonomy IEEE Micro The full citation details ... 1995 DBLP  DOI  BibTeX  RDF TNet, routing, reliability, multiprocessor, wormhole routing, multistage interconnect network, flow control, I/O, system area network, massively parallel processing, IPC
13Leonel Tedesco, Fabien Clermidy, Fernando Moraes 0001 A path-load based adaptive routing algorithm for networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quality of service, networks on chip, dynamic routing, traffic monitoring
13Gunar Schirner, Rainer Dömer Quantitative analysis of the speed/accuracy trade-off in transaction level modeling. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-on-chip, System level design, transaction level modeling
13Chunjie Duan, Sunil P. Khatri Energy Efficient and High Speed On-Chip Ternary Bus. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Robert Brendle, Thilo Streichert, Dirk Koch, Christian Haubelt, Jürgen Teich Dynamic Reconfiguration of FlexRay Schedules for Response Time Reduction in Asynchronous Fault-Tolerant Networks. Search on Bibsonomy ARCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Marcel Nassar, Kapil Gulati, Arvind K. Sujeeth, Navid Aghasadeghi, Brian L. Evans, Keith R. Tinsley Mitigating near-field interference in laptop embedded wireless transceivers. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Bharat Sukhwani, Alessandro Forin, Richard Neil Pittman An Extensible I/O Subsystem. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Fahimeh Jafari, Mohammad Hossien Yaghmaee, Mohammad Sadegh Talebi, Ahmad Khonsari Max-Min-Fair Best Effort Flow Control in Network-on-Chip Architectures. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network-on-Chip, flow control, Max-Min fairness
13Abhisek Pan, James W. Tschanz, Sandip Kundu A Low Cost Scheme for Reducing Silent Data Corruption in Large Arithmetic Circuit. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Michael R. T. Tan, Paul Rosenberg, Jong Souk Yeo, Moray McLaren, Sagi Mathai, Terry Morris, Joseph Straznicky, Norman P. Jouppi, Huei Pei Kuo, Shih-Yuan Wang, Scott Lerner, Pavel Kornilovich, Neal Meyer, Robert Bicknell, Charles Otis, Len Seals A High-Speed Optical Multi-Drop Bus for Computer Interconnections. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Computer interconnections, Multi-drop Bus, Optical Interconnects, Optical Bus
13Kelly D. Larson Translation of an existing VMM-based SystemVerilog testbench to OVM. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OVM, VMM, testbenches, SystemVerilog
13Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane BMSYN: Bus Matrix Communication Architecture Synthesis for MPSoC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Paul Gratz, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Robert G. McDonald, Stephen W. Keckler, Doug Burger Implementation and Evaluation of a Dynamically Routed Processor Operand Network. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13W. Mack Grady, Mehrdad Vatani, Ari Arapostathis A new fault location method for electric power grids. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF electric power grids, voltage sags, fault location
13Marieka Hoedemaeker, Mark A. Neerincx Attuning In-Car User Interfaces to the Momentary Cognitive Load. Search on Bibsonomy HCI (16) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF In-car services, central management, workload, adaptive user interface
13Dimitrios N. Serpanos, Wayne H. Wolf VLSI models of network-on-chip interconnect. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Simone Medardoni, Martino Ruggiero, Davide Bertozzi, Luca Benini, Giovanni Strano, Carlo Pistritto Interactive presentation: Capturing the interaction of the communication, memory and I/O subsystems in memory-centric industrial MPSoC platforms. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Bradley R. Quinton, Steven J. E. Wilton Embedded Programmable Logic Core Enhancements for System Bus Interfaces. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Angelo Kuti Lusala, Philippe Manet, Bertrand Rousseau, Jean-Didier Legat NoC Implementation in FPGA Using Torus Topology. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Reinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han Performance modeling for early analysis of multi-core systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early analysis, multi-core systems modeling, physical analysis, performance, power analysis, transaction-level modeling
13Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy 0001 A robust edge encoding technique for energy-efficient multi-cycle interconnect. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-cycle interconnect, interconnect, encoding, repeaters
13Lochi Yu, Samar Abdi Automatic SystemC TLM generation for custom communication platforms. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Mohammad Sadegh Talebi, Fahimeh Jafari, Ahmad Khonsari, Mohammad Hossien Yaghmaee A Novel Congestion Control Scheme for Elastic Flows in Network-on-Chip Based on Sum-Rate Optimization. Search on Bibsonomy ICCSA (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Werner Hein, Jens Berkmann, Manfred Zimmermann, Mario Huemer Object Oriented Signal Data Structures in VLSI Implementations of Wireless Modems. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Marek Tudruj, Lukasz Masko Parallel Matrix Multiplication Based on Dynamic SMP Clusters in SoC Technology. Search on Bibsonomy ISPA Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Patrick Leteinturier Automotive semi-conductor trend & challenges. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Brock J. LaMeres, Sunil P. Khatri Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Jelena Trajkovic, Mehrdad Reshadi, Bita Gorjiara, Daniel Gajski A Graph Based Algorithm for Data Path Optimization in Custom Processors. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Constraint-driven bus matrix synthesis for MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Peter Sobe, Kathrin Peter Construction of efficient OR-based deletion - tolerant coding schemes. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Lukasz Masko, Gregory Mounie, Denis Trystram, Marek Tudruj Program Graph Structuring for Execution in Dynamic SMP Clusters Using Moldable Tasks. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 186 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license