The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cache-coherent (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (15) 1993-1994 (17) 1995-1996 (22) 1997-1998 (18) 1999-2000 (25) 2001-2003 (24) 2004-2005 (18) 2006-2007 (20) 2008-2009 (19) 2010-2012 (22) 2013-2015 (20) 2016-2017 (15) 2018-2022 (18) 2023-2024 (4)
Publication types (Num. hits)
article(49) inproceedings(201) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 268 occurrences of 169 keywords

Results
Found 257 publication records. Showing 257 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
75Yang Zeng, Santosh G. Abraham Partitioning regular grid applications with irregular boundaries for cache-coherent multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partitioning regular grid applications, irregular boundaries, cache-coherent multiprocessors, regular grid, domain decomposition techniques, message passing multiprocessors, false coherency traffic, cache line, coalescing algorithm, domain decomposition algorithm, Indian Ocean circulation application, KSR1 multiprocessor, coherency traffic, message passing, multiprocessing systems, interprocessor communication
52Maged M. Michael, Ashwini K. Nanda Design and Performance of Directory Caches for Scalable Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Evgeny Bolotin, Zvika Guz, Israel Cidon, Ran Ginosar, Avinoam Kolodny The Power of Priority: NoC Based Distributed Cache Coherency. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Zheng Zhang 0001, Josep Torrellas Reducing Remote Conflict Misses: NUMA with Remote Cache versus COMA. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF remote conflict miss, NUMA with Remote Cache, shared-memory multiprocessor, cache coherent, COMA
48Steven Cameron Woo, Jaswinder Pal Singh, John L. Hennessy The Performance Advantages of Integrating Block Data Trabsfer in Cache-Coherent Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
45Sung-Eui Yoon, Peter Lindstrom 0001 Random-Accessible Compressed Triangle Meshes. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache-coherent layouts, mesh data structures, random access, external memory algorithms, Mesh compression
41Jonas Skeppstedt, Michel Dubois 0001 Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems
41Karen A. Tomko, Santosh G. Abraham Data and program restructuring of irregular applications for cache-coherent multiprocessor. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Philippas Tsigas, Yi Zhang 0004 Evaluating the performance of non-blocking synchronization on shared-memory multiprocessors. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
41Farnaz Mounes-Toussi, David J. Lilja Write buffer design for cache-coherent shared-memory multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies
41Ashwini K. Nanda, Laxmi N. Bhuyan Design and Analysis of Cache Coherent Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cache coherent multistage interconnection networks, multiple copy cache coherence protocol, multistage bus network, coherence traffic, performance evaluation, protocols, multiprocessor interconnection networks, simulation models
40Sangman Moh, Jae-Hong Shim, Yang-Dong Lee, Jeong-A Lee, Beom-Joon Cho Design and Evaluation of a Cache Coherence Adapter for the SMP Nodes Interconnected via Xcent-Net. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40John Chapin, Stephen Alan Herrod, Mendel Rosenblum, Anoop Gupta Memory System Performance of UNIX on CC-NUMA Multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
38Mrinmoy Ghosh, Hsien-Hsin S. Lee Virtual Exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Doug Burger, David A. Wood 0001 Accuracy vs. performance in parallel simulation of interconnection networks. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Wisconsin Wind Tunnel, cache-coherent shared-memory machines, network simulation models, high network loads, nonuniform traffic patterns, performance evaluation, performance, parallel computers, interconnection networks, parallel architectures, virtual machines, multiprocessor interconnection networks, accuracy, shared memory systems, parallel simulation, parallel applications, cache-coherence protocols, network contention
35Andrea Marongiu, Luca Benini, Mahmut T. Kandemir Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code parallelization, MPSoCs, barrier synchronization
35Jim Nilsson, Fredrik Dahlgren Reducing Ownership Overhead for Load-Store Sequences in Cache-Coherent Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Phillip B. Gibbons, Ephraim Korach On Testing Cache-Coherent Shared Memories. Search on Bibsonomy SPAA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
34Christopher Connelly, Carla Schlatter Ellis A workload characterization for coarse-grain multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coarse-grain multiprocessors, associated coherency maintenance, memory blocks, cache-coherent multiprocessors, page-based distributed shared memory systems, fine-grain systems, performance evaluation, performance, scalability, replication, multiprocessing systems, workload characterization, granularity, scalable shared memory multiprocessors
33Abderahman Kriouile Formal Methods for Functional Verification of Cache-Coherent System-on-Chip. (Méthodes Formelles pour la vérification fonctionnelle des systèmes sur puce cache cohérent). Search on Bibsonomy 2015   RDF
33Sang-Hwa Chung, Soo-Cheol Oh An SCI-Based PC Cluster Utilizing Coherent Network Cache. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF network cache, cluster system, CC-NUMA, SCI
30Yunheung Paek, Angeles G. Navarro, Emilio L. Zapata, Jay P. Hoeflinger, David A. Padua An Advanced Compiler Framework for Non-Cache-Coherent Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF array privatization, noncoherent caches, Put/Get, compiler, multiprocessors, dependence analysis, shared-memory programming
29Dongming Jiang, Hongzhang Shan, Jaswinder Pal Singh Application Restructuring and Performance Portability on Shared Virtual Memory and Hardware-Coherent Multiprocessors. Search on Bibsonomy PPoPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Rohan Samarasinghe, Yoshihiro Yasutake, Takaichi Yoshida Optimizing the Access Performance and Data Freshness of Distributed Cache Objects Considering User Access Pattern. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29John P. Sustersic, Ali R. Hurson A Quality of Service (QoS) Implementation of Internet Cache Coherence. Search on Bibsonomy AINA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ravi R. Iyer 0001, Laxmi N. Bhuyan Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures
29Ravi R. Iyer 0001, Laxmi N. Bhuyan Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Shigeki Shibayama, Kazumasa Hamaguchi, Toshiyuki Fukui, Yoshiaki Sudo, Tomohiko Shimoyama, Shuichi Nakamura An Optical Bus Computer Cluster with a deferred cache coherence protocol. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Optical Bus Computer Cluster, deferred cache coherence protocol, optical star-coupler, one-hop simultaneous broadcasting, wavelength multiplexing, deferred cache coherence, coherence maintenance, protocols, wavelength-division multiplexing, optical interconnections, cache storage
28Chris Holt, Jaswinder Pal Singh, John L. Hennessy Application and Architectural Bottlenecks in Large Scale Distributed Shared Memory Machines. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Albert Meixner, Daniel J. Sorin Dynamic Verification of Memory Consistency in Cache-Coherent Multithreaded Computer Architectures. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Albert Meixner, Daniel J. Sorin Dynamic Verification of Memory Consistency in Cache-Coherent Multithreaded Computer Architectures. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Hyonho Lee Transformations of Mutual Exclusion Algorithms from the Cache-Coherent Model to the Distributed Shared Memory Model. Search on Bibsonomy ICDCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Masaru Takesue A Model of Pipelined Mutual Exclusion on Cache-Coherent Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Models, pipelining, mutual exclusion
26Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou Fast Synchronization on Scalable Cache-Coherent Multiprocessors using Hybrid Primitives. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, synchronization, shared-memory, cache-coherence, scalable architectures
26Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, Babak Falsafi Temporal Streaming of Shared Memory. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Christian Fensch, Marcelo Cintra An OS-based alternative to full hardware coherence on tiled CMPs. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Wanxia Qu, Yang Guo 0003, Zhengbin Pang, Xiaodong Yang Efficient Verification of Parameterized Cache Coherence Protocols. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Qiong Li, Zhengbin Pang, Yufeng Guo, Enqiang Zhou, Xuejun Yang A GPDMA-based Distributed Shared I/O Solution for CC-NUMA System. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Effective instruction scheduling techniques for an interleaved cache clustered VLIW processor. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Tae-Joon Kim, Bochang Moon, Duksu Kim, Sung-Eui Yoon RACBVHs: Random-Accessible Compressed Bounding Volume Hierarchies. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Hierarchy and BVH compression, cache-coherent layouts, ray tracing, collision detection, random access
24Ruibo Wang Investigating Software Transactional Memory on Big SMP Machines. Search on Bibsonomy SNPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Software Transactional Memory (STM), cache coherent Non-Uniform Memory Access (ccNUMA), Scalability
24Steffen Christgau One-sided communication on a non-cache-coherent many-core architecture (Einseitige Kommunikation auf einer nicht-cache-kohärenten Vielkern-Prozessorarchitektur) (PDF / PS) Search on Bibsonomy 2017   RDF
24Sabela Ramos, Torsten Hoefler Cache Line Aware Algorithm Design for Cache-Coherent Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Alberto Ros 0001, Blas Cuesta, María Engracia Gómez, Antonio Robles, José Duato Cache Miss Characterization in Hierarchical Large-Scale Cache-Coherent Systems. Search on Bibsonomy ISPA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
24Angelos Bilas, Courtney R. Gibson, Reza Azimi, Rosalia Christodoulopoulou, Peter Jamieson Using System Emulation to Model Next-Generation Shared Virtual Memory Clusters. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-bandwidth interconnects, distributed shared memory, parallel systems, clusters of workstations, low-latency
24Courtney R. Gibson, Angelos Bilas Shared Virtual Memory Clusters with Next-Generation Interconnection Networks and Wide Compute Nodes. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Dongming Jiang, Jaswinder Pal Singh A Methodology and an Evaluation of the SGI Origin2000. Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Tom Lovett, Russell M. Clapp STiNG: A CC-NUMA Computer System for the Commercial Marketplace. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Rajarshi Mukherjee, Yozo Nakayama, Toshiya Mima Verification of an Industrial CC-NUMA Server. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Lory D. Molesky, Krithi Ramamritham Recovery Protocols for Shared Memory Database Systems. Search on Bibsonomy SIGMOD Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Rohit Chandra, Scott Devine, Ben Verghese, Anoop Gupta, Mendel Rosenblum Scheduling and Page Migration for Multiprocessor Compute Servers. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Mirko Loghi, Massimo Poncino, Luca Benini Cache coherence tradeoffs in shared-memory MPSoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, multiprocessor, system-on-chip, Cache coherence
21Mirko Loghi, Massimo Poncino Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Anant Agarwal, David A. Kranz, Rajeev Barua, Venkat Natarajan Optimal Tiling for Minimizing Communication in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy Compiler Optimizations for Scalable Parallel Systems Languages The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Jinseok Kong, Gyungho Lee Relaxing the Inclusion Property in Cache Only Memory Architecture. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Ben Verghese, Scott Devine, Anoop Gupta, Mendel Rosenblum Operating System Support for Improving Data Locality on CC-NUMA Compute Servers. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Mats Brorsson SM-prof: A Tool to Visualise and Find Cache Coherence Performance Bottlenecks in Multiprocessor Programs. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Gyungho Lee An assessment of COMA multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate
21Steven K. Reinhardt, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, David A. Wood 0001 The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Chris Gniady, Anastassia Ailamaki, Babak Falsafi Store-Ordered Streaming of Shared Memory. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Angelos Bilas, Dongming Jiang, Jaswinder Pal Singh Accelerating shared virtual memory via general-purpose network interface support. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF clusters, applications, system area networks, shared virtual memory
19Robert L. Bocchino Jr., Vikram S. Adve, Bradford L. Chamberlain Software transactional memory for large scale clusters. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clusters, scalability, software transactional memory (stm), distributed memory architectures
17Amin Firoozshahian, Alex Solomatnikov, Ofer Shacham, Zain Asgar, Stephen Richardson, Christos Kozyrakis, Mark Horowitz A memory system design framework: creating smart memories. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory access protocol, protocol controller, transactional memory, reconfigurable architecture, cache coherence, memory systems, multi-core processors, stream programming
17Xiaogang Qiu, Michel Dubois 0001 Moving Address Translation Closer to Memory in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic address translation, virtual-address caches, simulations, Multiprocessors, distributed shared memory, virtual memory
17Gary Gostin, Jean-Francois Collard, Kirby Collins The architecture of the HP Superdome shared-memory multiprocessor. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jaydeep Marathe, Anita Nagarajan, Frank Mueller 0001 Detailed cache coherence characterization for OpenMP benchmarks. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SMPs, program instrumentation, coherence protocols, cache analysis, dynamic binary rewriting
17Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA
17Kazuki Joe, Akira Fukuda Applying the Semi-Markov Memory and Cache Coherence Interference Model to an Updating Based Cache Coherence Protocol. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Margaret Martonosi, David Ofelt, Mark A. Heinrich Integrating Performance Monitoring and Communication in Parallel Computers. Search on Bibsonomy SIGMETRICS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Mazin S. Yousif, Chita R. Das A Switch Cache Design for MIN-Based Shared-Memory Multiprocessors. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Jonas Skeppstedt, Per Stenström Simple Compiler Algorithms to Reduce Ownership Operhead in Cache Coherence Protocols. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Ioannis Schoinas, Babak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, James R. Larus, David A. Wood 0001 Fine-grain Access Control for Distributed Shared Memory. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Henry N. Schuh, Arvind Krishnamurthy, David E. Culler, Henry M. Levy, Luigi Rizzo, Samira Manabi Khan, Brent E. Stephens CC-NIC: a Cache-Coherent Interface to the NIC. Search on Bibsonomy ASPLOS (1) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Hyokeun Lee, Kwanseok Choi, Hyuk-Jae Lee, Jaewoong Sim SDM: Sharing-Enabled Disaggregated Memory System with Cache Coherent Compute Express Link. Search on Bibsonomy PACT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Fei Gao 0016, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul J. Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu 0001, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca P. Carloni, David Wentzlaff DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Daecheol You, Jae Min Kim, Taesung Kim, Junho Huh Automotive Multi-Chip System with Cache Coherent Interconnect for Pipelined Parallel Applications. Search on Bibsonomy ICCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yuxin Ren 0001, Gabriel Parmer, Dejan S. Milojicic Sharing non-cache-coherent memory with bounded incoherence. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Chen Ding 0001, Benjamin Reber, Dorin Patru Cache-coherent CLAM (WIP). Search on Bibsonomy LCTES The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Zixuan Wang, Joonseop Sim, Euicheol Lim, Jishen Zhao Enabling Efficient Large-Scale Deep Learning Training with Cache Coherent Disaggregated Memory Systems. Search on Bibsonomy HPCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Sajjad Tamimi, Florian Stock, Andreas Koch 0001, Arthur Bernhardt, Ilia Petrov 0001 An Evaluation of Using CCIX for Cache-Coherent Host-FPGA Interfacing. Search on Bibsonomy FCCM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ankit Bhardwaj, Todd Thornley, Vinita Pawar, Reto Achermann, Gerd Zellweger, Ryan Stutsman Cache-coherent accelerators for persistent memory crash consistency. Search on Bibsonomy HotStorage The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Arthur Bernhardt, Sajjad Tamimi, Florian Stock, Tobias Vinçon, Andreas Koch 0001, Ilia Petrov 0001 Cache-Coherent Shared Locking for Transactionally Consistent Updates in Near-Data Processing DBMS on Smart Storage. Search on Bibsonomy EDBT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Isaac Sánchez Barrera Exploiting data locality in cache-coherent NUMA systems. Search on Bibsonomy 2022   RDF
16Georgios Kornaros RSMCC: Enabling Ring-based Software Managed Cache-Coherent Embedded SoCs. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ho-Ren Chuang, Robert Lyerly, Stefan Lankes, Binoy Ravindran Scaling Shared Memory Multiprocessing Applications in Non-cache-coherent Domains. Search on Bibsonomy SYSTOR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Yuxin Ren 0001, Gabriel Parmer, Dejan S. Milojicic Bounded incoherence: a programming model for non-cache-coherent shared memory architectures. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Moyang Wang, Tuan Ta, Lin Cheng, Christopher Batten Efficiently Supporting Dynamic Task Parallelism on Heterogeneous Cache-Coherent Systems. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Suk Chan Kang Optimizing high locality memory references in cache coherent shared memory multi-core processors. Search on Bibsonomy 2020   RDF
16Alexander Kroh, Oliver Diessel Efficient Fine-grained Processor-logic Interactions on the Cache-coherent Zynq Platform. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Junchang Wang, Qi Jin, Xiong Fu, Yun Li 0009, Peichang Shi Accelerating Wait-Free Algorithms: Pragmatic Solutions on Cache-Coherent Multicore Architectures. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Tamer Ahmed Eltaras, William Fornaciari, Davide Zoni Partial Packet Forwarding to Improve Performance in Fully Adaptive Routing for Cache-Coherent NoCs. Search on Bibsonomy PDP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Paul N. Whatmough, Sae Kyu Lee, Marco Donato, Hsea-Ching Hsueh, Sam Likun Xi, Udit Gupta, Lillian Pentecost, Glenn G. Ko, David M. Brooks, Gu-Yeon Wei A 16nm 25mm2 SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Paolo Grani, Sandro Bartolini Scalable Path-Setup Scheme for All-Optical Dynamic Circuit Switched NoCs in Cache Coherent CMPs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Eric Guthmuller, César Fuguet Tortolero, Pascal Vivet, Christian Bernard, Ivan Miro Panades, Jean Durupt, E. Beignc, Didier Lattard, Séverine Cheramy, Alain Greiner, Quentin L. Meunier, Pirouz Bazargan-Sabet A 29 Gops/Watt 3D-Ready 16-Core Computing Fabric with Scalable Cache Coherent Architecture Using Distributed L2 and Adaptive L3 Caches. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Steffen Christgau, Bettina Schnor Exploring one-sided communication and synchronization on a non-cache-coherent many-core architecture. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Ricardo Fernández Pascual, Alberto Ros 0001, Manuel E. Acacio To be silent or not: on the impact of evictions of clean data in cache-coherent multicores. Search on Bibsonomy J. Supercomput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Michel Gemieux, Yvon Savaria, Jean-Pierre David, Guchuan Zhu A Cache-Coherent Heterogeneous Architecture for Low Latency Real Time Applications. Search on Bibsonomy ISORC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Manuel Mohr, Carsten Tradowsky Pegasus: Efficient data transfers for PGAS languages on non-cache-coherent many-cores. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Xin He, Zhiwen Chen 0006, Jianhua Sun 0002, Hao Chen 0002, Dong Li 0001, Zhe Quan Exploring Synchronization in Cache Coherent Manycore Systems: A Case Study with Xeon Phi. Search on Bibsonomy ICPADS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Boma A. Adhi, Masayoshi Mase, Yuhei Hosokawa, Yohei Kishimoto, Taisuke Onishi, Hiroki Mikami, Keiji Kimura, Hironori Kasahara Software Cache Coherent Control by Parallelizing Compiler. Search on Bibsonomy LCPC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 257 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license