The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase cache/memory (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1987 (16) 1988-1990 (19) 1991-1993 (19) 1994-1995 (18) 1996-1997 (20) 1998 (16) 1999 (19) 2000 (21) 2001 (17) 2002 (21) 2003 (22) 2004 (22) 2005 (25) 2006 (37) 2007 (44) 2008 (26) 2009 (22) 2010-2011 (17) 2012-2014 (20) 2015-2016 (27) 2017-2019 (20) 2020-2021 (15) 2022-2023 (15) 2024 (2)
Publication types (Num. hits)
article(158) book(2) inproceedings(335) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 517 occurrences of 343 keywords

Results
Found 500 publication records. Showing 500 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
100Kashif Ali, Mokhtar Aboelaze, Suprakash Datta Modified Hotspot Cache Architecture: A Low Energy Fast Cache for Embedded Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
85Xavier Vera, Björn Lisper, Jingling Xue Data cache locking for tight timing calculations. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data cache analysis, embedded systems, Worst-case execution time, safety critical systems
83Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
70Andhi Janapsatya, Sri Parameswaran, Aleksandar Ignjatovic HitME: low power Hit MEmory buffer for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
61Huesung Kim, Arun K. Somani, Akhilesh Tyagi A reconfigurable multi-function computing cache architecture. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
56Caroline Benveniste, Peter A. Franaszek, John T. Robinson Cache-Memory Interfaces in Compressed Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory compression, performance analysis, trace-driven simulation, cache design, Memory system design
56Jih-Fu Tu Cache Management for Discrete Processor Architectures. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Discrete processor architectures, write-invalidate (WI) and cache block, multithreading, cache coherency, shared cache, memory latency
56Xiaomei Ji, Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Compiler-Directed Cache Assist Adaptivity. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
54Maria Grigoriadou, Maria Toula, Evangelos Kanidis Design and Evaluation of a Cache Memory Simulation Program. Search on Bibsonomy ICALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Somnath Ghosh, Margaret Martonosi, Sharad Malik Cache miss equations: a compiler framework for analyzing and tuning memory behavior. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF optimization, compilation, program transformation, cache memories
53Tohru Ishihara, Farzan Fallah A non-uniform cache architecture for low power system design. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, compiler, microprocessor, cache memory
52Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar Reducing leakage in a high-performance deep-submicron instruction cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
52Prasanna Palsodkar, Amol Y. Deshmukh, Preeti R. Bajaj, Avinash G. Keskar An Approach for Four Way Set Associative Multilevel CMOS Cache Memory. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Chang-Gun Lee, Kwangpo Lee, Joosun Hahn, Yang-Min Seo, Sang Lyul Min, Rhan Ha, Seongsoo Hong, Chang Yun Park, Minsuk Lee, Chong-Sang Kim Bounding Cache-Related Preemption Delay for Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Real-time system, cache memory, schedulability analysis, fixed-priority scheduling, preemption
50Ju-Hyun Kim, Gyoung-Hwan Hyun, Hyuk-Jae Lee Cache Organizations for H.264/AVC Motion Compensation. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Le Cai, Yung-Hsiang Lu Power reduction of multiple disks using dynamic cache resizing and speed control. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF disk rotation speed, power management, disk cache
48Chun-Chieh Lin, Chuen-Liang Chen Object Placement for Fully Associative Cache. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Ying Zheng, Brian T. Davis, Matthew Jordan Performance evaluation of exclusive cache hierarchies. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Amir H. Hashemi, David R. Kaeli, Brad Calder Efficient Procedure Mapping Using Cache Line Coloring. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
46Chuanjun Zhang An efficient direct mapped instruction cache for application-specific embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient cache design, instruction cache, low power cache
46Kurt Mehlhorn, Peter Sanders 0001 Scanning Multiple Sequences Via Cache Memory. Search on Bibsonomy Algorithmica The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, J. Ramanujam Morphable Cache Architectures: Potential Benefits. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Se-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Tohru Ishihara, Kunihiro Asada An Architectural Level Energy Reduction Technique For Deep-Submicron Cache Memories. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Martin Thuresson, Per Stenström Accommodation of the Bandwidth of Large Cache Blocks Using Cache/Memory Link Compression. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Hussein Al-Zoubi, Aleksandar Milenkovic, Milena Milenkovic Performance evaluation of cache replacement policies for the SPEC CPU2000 benchmark suite. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, cache memory, replacement policy
43Jung-Hoon Lee Next High Performance and Low Power Flash Memory Package Structure. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NAND-type, NOR-type, memory localities, buffer or cache memory, flash memory
43Mohsen Soryani, Mohsen Sharifi, Mohammad Hossein Rezvani Performance Evaluation of Cache Memory Organizations in Embedded Systems. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Gianni Franceschini Proximity Mergesort: optimal in-place sorting in the cache-oblivious model. Search on Bibsonomy SODA The full citation details ... 2004 DBLP  BibTeX  RDF
42Andrea Prati 0001 Exploring multimedia applications locality to improve cache performance. Search on Bibsonomy ACM Multimedia The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Rama Sangireddy, Huesung Kim, Arun K. Somani Low-Power High-Performance Reconfigurable Computing Cache Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Hong Wang 0003, Tong Sun, Qing Yang 0001 CAT - Caching Address Tags: A Technique for Reducing Area Cost of On-Chip Caches. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
38Richard E. Ladner, Ray Fortna, Bao-Hoang Nguyen A Comparison of Cache Aware and Cache Oblivious Static Search Trees Using Program Instrumentation. Search on Bibsonomy Experimental Algorithmics The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Huesung Kim, Arun K. Somani, Akhilesh Tyagi A reconfigurable multifunction computing cache architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Akira Yamawaki 0002, Masahiko Iwane Coherence Maintenances to realize an efficient parallel processing for a Cache Memory with Synchronization on a Chip-Multiprocessor. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Jeffrey B. Rothman, Alan Jay Smith Sector Cache Design and Performance. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sector cache, simulation, architecture, workloads, multiprogramming
35Andrew Herdrich, Ramesh Illikkal, Ravi R. Iyer 0001, Donald Newell, Vineet Chadha, Jaideep Moses Rate-based QoS techniques for cache/memory in CMP platforms. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF p-states, performance differentiation, t-states, cache, memory, rate control, qos, clock gating, frequency scaling, dvfs
35Kiyofumi Tanaka, Takenori Fujita Leakage Energy Reduction in Cache Memory by Software Self-invalidation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Sangyeun Cho, Lei Jin 0002, Kiyeon Lee Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Huesung Kim, Arun K. Somani, Akhilesh Tyagi On Reconfiguring Cache for Computing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache, convolution, reconfigurable hardware
34Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann Memory performance prediction for high-performance microprocessors at deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Philip J. Koopman Jr., Peter Lee 0001, Daniel P. Siewiorek Cache Behavior of Combinator Graph Reduction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF self-modifying code, combinators, abstract machine, graph reduction
34Rita Cucchiara, Massimo Piccardi, Andrea Prati 0001 Hardware Prefetching Techniques for Cache Memories in Multimedia Applications. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hardware prefetching, cache memory organization, multimedia image processing programs, MPEG-2 decoding, edge chain coding, image processing, multimedia, kernels, multimedia applications, cache memories
34Ravi Mukkamala, Ashok K. Agrawala Modeling Memory Reference Patterns of Programs in Cache Memory Systems. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33David L. Rhodes, Wayne H. Wolf Unbalanced Cache Systems. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33James E. Bennett, Michael J. Flynn Prediction Caches for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Prediction cache, Dynamic scheduling, Memory latency, Victim cache, Stream buffer
31Xavier Vera, Nerina Bermudo, Josep Llosa, Antonio González 0001 A fast and accurate framework to analyze and optimize cache memory behavior. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, sampling, Cache memories
31Xiaoping Zhu, Teng-Tiow Tay A Compiler-Controlled Instruction Cache Architecture for an Embedded Low Power Microprocessor. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31José Aguilar 0001, Ernst L. Leiss A General Adaptive Cache Coherency-Replacement Scheme for Distributed Systems. Search on Bibsonomy IICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Victor M. DeLaLuz, Ismail Kadayif, Mahmut T. Kandemir, Ugur Sezer Access Pattern Restructuring for Memory Energy. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF banked memories, embedded systems, Compiler optimization, energy consumption, access pattern
29Nikolas Kroupis, Dimitrios Soudris Design Methodology and Software Tool for Estimation of Multi-level Instruction Cache Memory Miss Rate. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Tzi-cker Chiueh, Prashant Pradhan Cache Memory Design for Network Processors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Routing Table Lookup, Caching, Network Processors
29Hyacinthe Aboudja, Jonathan Simonson Real-Time Systems Performance Improvement with Multi-Level Cache Memory. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jan-Willem van de Waerdt, Stamatis Vassiliadis, Jean-Paul van Itegem, Hans Van Antwerpen The TM3270 Media-Processor Data Cache. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Chuanjun Zhang, Jun Yang 0002, Frank Vahid Low Static-Power Frequent-Value Data Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Alvin R. Lebeck Cache conscious programming in undergraduate computer science. Search on Bibsonomy SIGCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Stefan Bieschewski, Joan-Manuel Parcerisa, Antonio González 0001 Memory Bank Predictors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Sung-Soo Lim, Young Hyun Bae, Gyu Tae Jang, Byung-Do Rhee, Sang Lyul Min, Chang Yun Park, Heonshik Shin, Kunsoo Park, Soo-Mook Moon, Chong-Sang Kim An Accurate Worst Case Timing Analysis for RISC Processors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pipelined execution, real-time system, Cache memory, worst case execution time, RISC processor
27Tay Teng Tiow, Zhu Xiaoping A runtime auto scalable power-efficient instruction-cache design. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Carlos Molina, Carles Aliagas, Montse Garcia 0002, Antonio González 0001, Jordi Tubella Non redundant data cache. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF value replication, low power, compression, cache memories
27Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Susanne Graf Characterization of a Sequentially Consistent Memory and Verification of a Cache Memory by Abstraction. Search on Bibsonomy Distributed Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Roberto R. Osorio, Montserrat Bóo, Javier D. Bruguera Arithmetic Image Coding/Decoding Architecture Based on a Cache Memory. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Nicholas Ironmonger Trace-Splitting for the Parallel Simulation of Cache Memory. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Ravi R. Iyer 0001, Li Zhao 0002, Fei Guo, Ramesh Illikkal, Srihari Makineni, Donald Newell, Yan Solihin, Lisa R. Hsu, Steven K. Reinhardt QoS policies and architecture for cache/memory in CMP platforms. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache/memory, resource sharing priniciples, QoS, quality of service, performance, CMP, service level agreements
25Steven M. German Formal Design of Cache Memory Protocols in IBM. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF formal design of hardware, cache memory protocol, Murphi verifier, protocol verification
25Jung-Hoon Lee, Shin-Dug Kim, Charles C. Weems Application-adaptive intelligent cache memory system. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic block fetching and cache memory, general application, media application, Memory hierarchy, temporal locality, spatial locality
25John E. Sasinowski, Jay K. Strosnider A Dynamic Programming Algorithm for Cache/Memory Partitioning for Real-Time Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF cache memory partitioning, on-line reconfiguration, memory resources, real-time systems, real-time systems, dynamic programming, memory architecture, polynomial time, buffer storage, optimal partitioning, dynamic programming algorithm
25Gurindar S. Sohi Cache Memory Organization to Enhance the Yield of High-Performance VLSI Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF cache memory organization, high performance VLSI processors, tolerance of defects faults, linear RAMs, trace-driven simulation analysis, storage management chips, VLSI, yield, fault location, buffer storage, performance degradation, random-access storage, integrated memory circuits
25Subhasis Laha, Janak H. Patel, Ravishankar K. Iyer Accurate Low-Cost Methods for Performance Evaluation of Cache Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF cache memory systems, realistic workloads, mean miss rate, primed cache, sampling-based method, performance evaluation, performance evaluation, digital simulation, buffer storage, statistical techniques, simulation techniques, address trace
25Hyunjin Lee, Sangyeun Cho, Bruce R. Childers Performance of Graceful Degradation for Cache Faults. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos Preventing Denial-of-Service Attacks in Shared CMP Caches. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Yunn Yen Chen, Jih-Kwon Peir, Chung-Ta King Performance of Shared Cache on Multithreaded Architectures. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF shared cache performance, trace-driven simulation technique, storage hierarchy system, multithreaded execution environment, multithread scheduling techniques, server/workstation workload mix, MRU priority scheduling scheme, round-robin scheduling method, absolute hit ratio, concurrent threads, simulation, performance evaluation, parallel architectures, shared memory systems, processor scheduling, cache storage, multithreaded architectures, program traces, set associativity, cache size, direct-map cache
25Mohammad Shihabul Haque, Andhi Janapsatya, Sri Parameswaran SuSeSim: a fast simulation strategy to find optimal L1 cache configuration for embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF miss rate, simulation, LRU, cache simulation, L1 cache
25Ravi R. Iyer 0001 Characterization and Evaluation of Cache Hierarchies for Web Servers. Search on Bibsonomy World Wide Web The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads
25James D. Fix The set-associative cache performance of search trees. Search on Bibsonomy SODA The full citation details ... 2003 DBLP  BibTeX  RDF
25David Wonnacott Using Time Skewing to Eliminate Idle Time due to Memory Bandwidth and Network Limitations. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compute balance, machine balance, scalable locality, scalable parallelism, cache optimization, loop tiling
25Sofien Chtourou, Mohamed Chtourou, Omar Hammami Neural Network Based Memory Access Prediction Support for SoC Dynamic Reconfiguration. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Julien Bourgeois, François Spies, M. J. Zemerly, Thierry Delaitre Chronos: a Performance Characterization Tool Inside the EDPEPPS Toolset. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CPU modeling, cache memory modeling, parallel programing environments, Performance characterization
24Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran A novel instruction scratchpad memory optimization method based on concomitance metric. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Jie Tao 0001, Dominic Hillenbrand, Holger Marten Instruction Hints for Super Efficient Data Caches. Search on Bibsonomy ICCS (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, architecture design, Cache optimization
23Abel G. Silva-Filho, Carmelo J. A. Bastos Filho, Ricardo Massa Ferreira Lima, Davi M. A. Falcão, Filipe R. Cordeiro, Marília P. Lima An Intelligent Mechanism to Explore a Two-Level Cache Hierarchy Considering Energy Consumption and Time Performance. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Vijay Degalahal, Lin Li 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Soft errors issues in low-power caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Enric Gibert, F. Jesús Sánchez, Antonio González 0001 An interleaved cache clustered VLIW processor. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures
23Daranee Hormdee, Jim D. Garside AMULET3i Cache Architecture. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Andrew Putnam, Susan J. Eggers, Dave Bennett, Eric Dellinger, Jeff Mason, Henry Styles, Prasanna Sundararajan, Ralph Wittig Performance and power of cache-based reconfigurable computing. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF c-to-gates, c-to-hardware, co-processor accelerators, fpga, caches
23Abu Asaduzzaman, Imad Mahgoub Cache modeling and optimization for portable devices running MPEG-4 video decoder. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPEG-4, Cache optimization, Portable devices, Cache modeling, Video decoder
23Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng Live Range Aware Cache Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Live Range, Cache, Memory Hierarchy
23Siddhartha Chatterjee, Sandeep Sen Cache-Efficient Matrix Transposition. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Ravi R. Iyer 0001, Jack Perdue, Lawrence Rauchwerger, Nancy M. Amato, Laxmi N. Bhuyan An Experimental Evaluation of the HP V-Class and SGI Origin 2000 Multiprocessors using Microbenchmarks and Scientific Applications. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance analysis, Parallel architectures, shared memory
22Bruno Cernuschi-Frías, José Luis Hamkalo, Jonás D. Pfefferman, Hernán Gonzalez Analysis of cache memory strategies for some image processing applications. Search on Bibsonomy ICIP (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Jaideep Moses, Konstantinos Aisopos, Aamer Jaleel, Ravi R. Iyer 0001, Ramesh Illikkal, Donald Newell, Srihari Makineni CMPSched$im: Evaluating OS/CMP interaction on shared cache management. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Leticia Pascual, Alejandro Torrentí, Julio Sahuquillo, José Flich Understanding cache hierarchy interactions with a program-driven simulator. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-level caches, cache organization, write policies
21Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque A Reconfigurable Data Cache for Adaptive Processors. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jaeeun Jeon, Gunhoon Lee, Ki Dong Lee, ByoungChul Ahn An Adaptive Prefetching Method for Web Caches. Search on Bibsonomy ICCSA (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Carles Aliagas, Carlos Molina, Montse Garcia 0002, Antonio González 0001, Jordi Tubella Value Compression to Reduce Power in Data Caches. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Rama Sangireddy, Huesung Kim, Arun K. Somani Low-Power High-Performance Adaptive Computing Architectures for Multimedia Processing. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21José Aguilar 0001, Ernst L. Leiss A Web Proxy Cache Coherency and Replacement Approach. Search on Bibsonomy Web Intelligence The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Giovanni Erbacci, Giuseppe Paruolo, Giancarlo Tagliavini Influence of the stride on the cache utilization in the IBM 3090 VF. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF FORTRAN
Displaying result #1 - #100 of 500 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license