The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase carry-look-ahead (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1976-1996 (15) 1997-2001 (15) 2002-2004 (17) 2005-2008 (17) 2009-2017 (15) 2018-2023 (17)
Publication types (Num. hits)
article(32) inproceedings(64)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 64 occurrences of 50 keywords

Results
Found 96 publication records. Showing 96 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
105Seiji Kajihara, Tsutomu Sasao On the Adders with Minimum Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ripple carry adder, minimum test set, test generation, stuck-at fault, carry look-ahead adders
103Himanshu Thapliyal, Hamid R. Arabnia, M. B. Srinivas Efficient Reversible Logic Design of BCD Subtractors. Search on Bibsonomy Trans. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BCD subtractors, BCD adders, Reversible logic
98Tomás Lang, Javier D. Bruguera Multilevel Reverse-Carry Computation for Comparison and for Sign and Overflow Detection in Addition. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Most significant carry, prefix tree, carry look-ahead adder
84Robert W. Doran Variants of an Improved Carry Look-Ahead Adder. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF look-ahead carry, adder, adders, variation, improved, carry look-ahead adder
84Himanshu Thapliyal, Sumedha K. Gupta Design of Novel Reversible Carry Look-Ahead BCD Subtractor. Search on Bibsonomy ICIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
80Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos Diminished-One Modulo 2n+1 Adder Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modulo $big. 2^{rm n}+1bigr.$ addition, carry look-ahead addition, diminished-one number representation, VLSI adders, parallel-prefix adders
69Lampros Kalampoukas, Dimitris Nikolos, Costas Efstathiou, Haridimos T. Vergos, John Kalamatianos High-Speed Parallel-Prefix Modulo 2n-1 Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Modulo $2^n-1$ adders, VLSI design, parallel-prefix adders, carry look-ahead adders
66Anum Khan, Arindom Chakraborty, Upal Barua Joy, Subodh Wairya, Mehedi Hasan Carry look-ahead and ripple carry method based 4-bit carry generator circuit for implementing wide-word length adder. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
60Bernd Becker 0001, Reiner Kolla On the Construction of Optimal Time Adders (Extended Abstract). Search on Bibsonomy STACS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
57Himanshu Thapliyal, M. B. Srinivas A Novel Reversible TSG Gate and Its Application for Designing Reversible Carry Look-Ahead and Other Adder Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Antonio Blotti, Maurizio Castellucci, Roberto Saletti Designing Carry Look-Ahead Adders with an Adiabatic Logic Standard-Cell Library. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Vitalij Ocheretnij, Egor S. Sogomonyan, Michael Gössel A New Code-Disjoint Sum-Bit Duplicated Carry Look-Ahead Adder for Parity Codes. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
52Andreas Herrfeld, Siegbert Hentschke Ternary Multiplication Circuits Using 4-Input Adder Cells and Carry Look-Ahead. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF MVL, ternary adder, ternary multiplication, VLSI, multiple valued-logic
51Mehedi Hasan, Muhammad Saddam Hossain, Abdul Hasib Siddique, Mainul Hossain, Hasan U. Zaman, Sharnali Islam A high-speed 4-bit Carry Look-Ahead architecture as a building block for wide word-length Carry-Select Adder. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
51Md. Ashik Zafar Dipto, Afran Sorwar, Elias Ahammad Sojib, Md. Mostak Tahmid Rangon Performance Improvement in Conventional 4-bit Static CMOS Carry Look-Ahead Adder by Modifying Carry-Generate and Propagate Terms. Search on Bibsonomy ICCCNT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
51Mehedi Hasan, Parag Biswas, Md. Shihabul Alam, Hasan U. Zaman, Mainul Hossain, Sharnali Islam High Speed and Ultra Low Power Design of Carry-Out Bit of 4-Bit Carry Look-Ahead Adder. Search on Bibsonomy ICCCNT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
51Md. Saiful Islam 0003, Muhammad Mahbubur Rahman, Zerina Begum, Mohd. Zulfiquar Hafiz Efficient Approaches for Designing Fault Tolerant Reversible Carry Look-Ahead and Carry-Skip Adders Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
51Md. Saiful Islam 0003, Muhammad Mahbubur Rahman, Zerina Begum, Mohd. Zulfiquar Hafiz Fault tolerant reversible logic synthesis: Carry look-ahead and carry-skip adders Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
51Gustavo A. Ruiz, Mercedes Granda An area-efficient static CMOS carry-select adder based on a compact carry look-ahead unit. Search on Bibsonomy Microelectron. J. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Saroja V. Siddamal, R. M. Banakar, B. C. Jinaga Design of High-Speed Floating Point Multiplier. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FP operations, Fast Carry look ahead adder (MCLA), CSD algorithm, Booth algorithm
48Nhon T. Quach, Michael J. Flynn High-Speed Addition in CMOS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF high speed addition, static complementary metal-oxide semiconductor, Ling-type 32-bit adder, serial transistors, worst-case critical path, carry look-ahead, CMOS, adders, CMOS integrated circuits, gate delay, 32 bit
48Binay Sugla, David A. Carlson Extreme Area-Time Tradeoffs in VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF bounded fan-in, fan-out prefix computation graphs, area requirements, constant factor reduction, area-time tradeoff, VLSI, lower bounds, digital arithmetic, layout, circuit layout CAD, carry look-ahead adder
48Yang-Chang Hong, Thomas H. Payne Parallel Sorting in a Ring Network of Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF ring network of processors, selection sorting algorithms, ring-connected array, item placement, carry-look-ahead techniques, computer networks, sorting, maintenance, manufacture, parallel implementation, performance improvement, inventory, parallel sorting
48Bernd Becker 0001 Efficient Testing of Optimal Time Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF optimal time adders, conditional sum adder, VLSI, logic testing, adders, integrated logic circuits, VLSI chip, carry look-ahead adder
48Dharma P. Agrawal High-Speed Arithmetic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF carry-look-ahead, carry-save, pipelining, multiplication, division, Arrays, square-root, square, high-speed arithmetic, sign detection, multifunction
44Michael J. Schulte, Kai Chirca, John Glossner, Haoran Wang, Suman Mamidi, Pablo I. Balzola, Stamatis Vassiliadis A Low-Power Carry Skip Adder with Fast Saturation. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Çetin Kaya Koç, Ching Yu Hung Bit-level systolic arrays for modular multiplication. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF sign estimation, scheduling, systolic array, modular multiplication, carry save adders
36Chua-Chin Wang, Oliver Lexter July A. Jose, Wen-Shou Yang, Ralph Gerard B. Sangalang, Lean Karlo S. Tolentino, Tzung-Je Lee A 16-nm FinFET 28.8-mW 800-MHz 8-Bit All-N-Transistor Logic Carry Look-Ahead Adder. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Riley Jackson, Maxwell Phillips, Firas Hassan, Ahmed Ammar High Precision Carry-Look-Ahead Logic for Negation, Absolute Value, and Two's Complement. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Tomoyuki Tanaka, Christopher L. Ayala, Nobuyuki Yoshikawa A 16-Bit Parallel Prefix Carry Look-Ahead Kogge-Stone Adder Implemented in Adiabatic Quantum-Flux-Parametron Logic. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
36Sharana Basappa, P. Ravi Babu A low power architecture for 1D median filter using carry look ahead adder. Search on Bibsonomy Int. J. Adv. Intell. Paradigms The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
36Bharat Garg, Sujit Kumar Patel Reconfigurable Carry Look-Ahead Adder Trading Accuracy for Energy Efficiency. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
36Jianmin Wang, Fengqiu Liu, Yuhu Wu Stuck-at Fault Diagnosis of Four-bit Carry Look-ahead Adder by Shannon Expansion via Semi-tensor Product. Search on Bibsonomy SICE The full citation details ... 2021 DBLP  BibTeX  RDF
36V. Muralidharan, N. Sathish Kumar Design and implementation of low power and high speed multiplier using quaternary carry look-ahead adder. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
36Hassan Afzali-Kusha, Mehdi Kamal, Massoud Pedram Low-power Accuracy-configurable Carry Look-ahead Adder Based on Voltage Overscaling Technique. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
36Tasneem AlSalem, Lina Nazzal, Marah Samara, Mawahib Hussein Sulieman Design and Simulation of 90 nm Threshold Logic Carry-Look-Ahead Adder. Search on Bibsonomy ACIT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
36Sara Ghafari, Morteza Mousazadeh, Abdollah Khoei, Ali Dadashi A New High-speed and Low area Efficient Pipelined 128-bit Adder Based on Modified Carry Look-ahead Merging with Han-Carlson Tree Method. Search on Bibsonomy MIXDES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
36A. Nagalakshmi, Ch. Sirisha, D. N. Madhusudana Rao Hybrid CMOS-CNFET based NP dynamic Carry Look Ahead Adder. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
36Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram RAP-CLA: A Reconfigurable Approximate Carry Look-Ahead Adder. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
36Ioannis Voyiatzis, Costas Efstathiou SIC pair generation in near-optimal time with carry-look ahead adders. Search on Bibsonomy DTIS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
36Jabulani Nyathi, Abubaker Mutumba Slowing the none-critical path to improve carry look-ahead adder power dissipation. Search on Bibsonomy MWSCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
36Pao-Lung Chen A low-cost carry look-ahead adder for flying-adder frequency synthesizer. Search on Bibsonomy ICCE-TW The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
36Raj Johri, Shyam Akashe, Sanjay Sharma High performance 8 bit cascaded carry look ahead adder with precise power consumption. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
36Mojtaba Valinataj Fault-tolerant carry look-ahead adder architectures robust to multiple simultaneous errors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
36Tse-Ching Wu, Chien-Ju Chen, Yin-Nien Chen, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Evaluation of 32-Bit carry-look-ahead adder circuit with hybrid tunneling FET and FinFET devices. Search on Bibsonomy ICICDT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
36Nusrat Jahan Lisa, Hafiz Md. Hasan Babu Design of a Compact Reversible Carry Look-Ahead Adder Using Dynamic Programming. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
36Himanshu Thapliyal, H. V. Jayashree, A. N. Nagamani, Hamid R. Arabnia Progress in Reversible Processor Design: A Novel Methodology for Reversible Carry Look-Ahead Adder. Search on Bibsonomy Trans. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Costas Efstathiou, Zaher Owda, Yiorgos Tsiatouhas New High-Speed Multioutput Carry Look-Ahead Adders. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Hafiz Md. Hasan Babu, Lafifa Jamal, Nazir Saleheen An efficient approach for designing a reversible fault tolerant n-bit carry look-ahead adder. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Itamar Levi, Ori Bass, Asaf Kaizerman, Alexander Belenky, Alexander Fish High speed Dual Mode Logic Carry Look Ahead Adder. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
36P. H. S. T. Murthy, L. Madan Mohan, V. Sreenivasa Rao, V. Malleswara Rao 4T Carry Look Ahead Adder Design Using MIFG. Search on Bibsonomy ICT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
36Alireza Namazi, Yasser Sedaghat, Seyed Ghassem Miremadi, Alireza Ejlali A low-cost fault-tolerant technique for Carry Look-Ahead adder. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Sreehari Veeramachaneni, Kirthi M. Krishna, Prateek G. V., Subroto S., Bharat S., M. B. Srinivas A Novel Carry-Look Ahead Approach to a Unified BCD and Binary Adder/Subtractor. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Himanshu Thapliyal, Hamid R. Arabnia Modified Carry Look Ahead BCD Adder With CMOS and Reversible Logic Implementation. Search on Bibsonomy CDES The full citation details ... 2006 DBLP  BibTeX  RDF
36Himanshu Thapliyal, Neela Gopi, K. K. Pavan Kumar, M. B. Srinivas Low Power Hierarchical Multiplier and Carry Look-Ahead Architecture. Search on Bibsonomy AICCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Bart Desoete, Alexis De Vos A reversible carry-look-ahead adder using control gates. Search on Bibsonomy Integr. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Kiniio Ueda, Hiroaki Suzuki, Kakutaro Suda, Hirofumi Shinohara, Koichiro Mashiko A 64-bit carry look ahead adder using pass transistor BiCMOS gates. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36James B. Kuo, Hung-Pin Chen 0001, H. J. Huang A BiCMOS Dynamic Divider Circuit Using a Restoring Iterative Architecture with Carry Look Ahead for CPU VLSI. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
34Kai Chirca, Michael J. Schulte, John Glossner, Haoran Wang, Suman Mamidi, Pablo I. Balzola, Stamatis Vassiliadis A Static Low-Power, High-Performance 32-bit Carry Skip Adder. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Ji-Yong Jeong, Gil-Su Kim, Jong-Pil Son, Woo-Jin Rim, Soo-Won Kim Body Bias Generator for Leakage Power Reduction of Low-Voltage Digital Logic Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Krister Landernäs, Johnny Holmberg, Mark Vesterbacka A high-speed low-latency digit-serial hybrid adder. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Feng Zhou, Peter Kornerup High Speed DCT/IDCT Using a Pipelined CORDIC Algorithm. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
32Bernd Becker 0001 Efficient Testing of Optimal Time Adders (Extended Abstract). Search on Bibsonomy MFCS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
30Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo Fast Low-Power 64-Bit Modular Hybrid Adder. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Rumi Zhang, Wei Wang 0003, Konrad Walus, Graham A. Jullien Performance comparison of quantum-dot cellular automata adders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Thambipillai Srikanthan, Siew Kei Lam, Mishra Suman Area-Time Efficient Sign Detection Technique for Binary Signed-Digit Number System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF binary signed-digit number system, most significant carry detection, Sign detection
25Sreehari Veeramachaneni, Kirthi M. Krishna, Lingamneni Avinash, Reddy Puppala Sreekanth, M. B. Srinivas Novel, High-Speed 16-Digit BCD Adders Conforming to IEEE 754r Format. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Su-Hon Lin, Ming-Hwa Sheu, Kuang-Hui Wang, Jun-Jie Zhu, Si-Ying Chen Efficient VLSI Design of Modulo 2n-1 Adder Using Hybrid Carry Selection. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Swapnil Bahl A Sharable Built-in Self-Repair for Semiconductor Memories with 2-D Redundancy Schema. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Himanshu Thapliyal, Saurabh Kotiyal, M. B. Srinivas Novel BCD Adders and Their Reversible Logic Implementation for IEEE 754r Format. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Th. Haniotakis, Dimitris Nikolos, Y. Tsiatouhas C-Testable One-Dimensional ILAs with Respect to Path Delay Faults: Theory and Applications. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF robustly delay fault testable circuits, path delay faults, C-testability, Iterative-logic-arrays
21Shang Ma, Jianhao Hu, Lin Zhang, Xiang Ling 0002 An efficient RNS parity checker for moduli set {2 n - 1, 2 n + 1, 22 n + 1} and its applications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF number comparison, sign determination, overflow detection, VLSI, RNS, parity check
21Shu-Chung Yi, Kun-Tse Lee, Jin-Jia Chen, Chien-Hung Lin, Chuen-Ching Wang, Chin-Fa Hsieh, Chih-Yung Lu The new architecture of radix-4 Chinese abacus adder. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Peter Celinski, Said F. Al-Sarawi, Derek Abbott, Sorin Cotofana, Stamatis Vassiliadis Logical Effort Based Design Exploration of 64-bit Adders Using a Mixed Dynamic-CMOS/Threshold-Logic Approach. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Sheng Sun, Larry McMurchie, Carl Sechen A High-Performance 64-bit Adder Implemented in Output Prediction Logic. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Bernd Becker 0001, Rolf Drechsler, Sudhakar M. Reddy (Quasi-) Linear Path Delay Fault Tests for Adders. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tin Wai Kwan, Maitham Shams Design of High-Performance Power-Aware Asynchronous Pipelined Circuits in MOS Current Mode Logic. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Zachary D. Patitz, Nohpill Park, Minsu Choi, Fred J. Meyer QCA-Based Majority Gate Design under Radius of Effect-Induced Faults. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Mário C. B. Osorio, Carlos A. Sampaio, André Inácio Reis, Renato P. Ribas Enhanced 32-bit carry lookahead adder using multiple output enable-disable CMOS differential logic. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ECDL, CMOS, adder, digital circuits
16Ciaran McIvor, Máire McLoone, John V. McCanny FPGA Montgomery Multiplier Architectures - A Comparison. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ciaran McIvor, Máire McLoone, John V. McCanny FPGA Montgomery modular multiplication architectures suitable for ECCs over GF(p). Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16P. C. Chen, James B. Kuo Novel sub-1V CMOS domino dynamic logic circuit using a direct bootstrap (DB) technique for low-voltage CMOS VLSI. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Bhushan A. Shinkre, James E. Stine A pipelined clock-delayed domino carry-lookahead adder. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Young-Jun Lee, Jong-Jin Lim, Yong-Bin Kim A Novel Clocking Strategy for Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo VLSI circuits for low-power high-speed asynchronous addition. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Mohab Anis, Mohamed I. Elmasry Self-timed MOS current mode logic for digital applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Hong-Yi Huang, Teng-Neng Wang High-speed CMOS logic circuits in capacitor coupling technique. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hamid Mahmoodi-Meimand, Ali Afzali-Kusha Efficient power clock generation for adiabatic logic. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Gin Yee, Carl Sechen Clock-delayed domino for dynamic circuit design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo VLSI Implementation of a Low-Power High-Speed Self-Timed Adder. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Mahmoud A. Manzoul Parallel CLA Algorithm for Fast Addition. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Aamir A. Farooqui, Vojin G. Oklobdzija VLSI Implementation of Early Branch Prediction Circuits for High Performance Computing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Dinesh Somasekhar, Kaushik Roy 0001 LVDCSL: a high fan-in, high-performance, low-voltage differential current switch logic family. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Takashi Miyazaki, Takao Nishitani, Masato Edahiro, Ikuko Ono, Kaoru Mitsuhashi DCT/IDCT processor for HDTV developed with dsp silicon compiler. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Rajiv Jain, Alice C. Parker, Nohbyung Park Module Selection for Pipelined Synthesis. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
16Jagan P. Agrawal, V. Umapathi Reddy Log-sum multiplier. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #96 of 96 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license