|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 107 occurrences of 100 keywords
|
|
|
Results
Found 200 publication records. Showing 200 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
29 | Takashi Nojima, Yasuhiro Takashima, Shigetoshi Nakatake, Yoji Kajitani |
A device-level placement with multi-directional convex clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 196-201, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cluster-constraint, device-level placement, directional convex, rectangle packing, sequence-pair |
25 | Zheng Liu, Hai Zhao, Peng Li, Jialiang Wang |
An Optimization Model for IO Jitter in Device-Level RTOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Sixth International Conference on Information Technology: New Generations, ITNG 2009, Las Vegas, Nevada, USA, 27-29 April 2009, pp. 1528-1533, 2009, IEEE Computer Society, 978-0-7695-3596-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IO jitter, device-level, RTOS, optimization model |
24 | Man-Lap Li, Pradeep Ramachandran, Ulya R. Karpuzcu, Siva Kumar Sastry Hari, Sarita V. Adve |
Accurate microarchitecture-level fault modeling for studying hardware faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 105-116, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Asen Asenov |
Statistical Device Variability and its Impact on Yield and Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece, pp. 253, 2007, IEEE Computer Society, 0-7695-2918-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Appadodharana Chandershekarapuram, Dimitrios Vogiatzis, Spyridon Vassilaras, Gregory S. Yovanof |
Architecture Framework for Device Single Sign On in Personal Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops (2) ![In: On the Move to Meaningful Internet Systems 2006: OTM 2006 Workshops, OTM Confederated International Workshops and Posters, AWeSOMe, CAMS, COMINF, IS, KSinBIT, MIOS-CIAO, MONET, OnToContent, ORM, PerSys, OTM Academy Doctoral Consortium, RDDS, SWWS, and SeBGIS 2006, Montpellier, France, October 29 - November 3, 2006. Proceedings, Part II, pp. 1367-1379, 2006, Springer, 3-540-48273-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley |
Device-level early floorplanning algorithms for RF circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(4), pp. 375-388, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
19 | Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley |
Device-level early floorplanning algorithms for RF circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998, pp. 57-64, 1998, ACM, 1-58113-021-X. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
18 | Rasit Onur Topaloglu, Alex Orailoglu |
Forward discrete probability propagation method for device performance characterization under process variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 220-223, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Carlo Cazzaniga, Marta Bagatin, Simone Gerardin, Alessandra Costantino, Christopher D. Frost |
First Tests of a New Facility for Device-Level, Board-Level and System-Level Neutron Irradiation of Microelectronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Emerg. Top. Comput. ![In: IEEE Trans. Emerg. Top. Comput. 9(1), pp. 104-108, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
18 | Yihua Guo |
Improving Application QoE with Flow-Level, Interface-Level, and Device-Level Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2017 |
RDF |
|
17 | Jerry Jenkins, Debasis Sengupta, Shankar Sundaram |
Characterization of a Solid State DNA Nanopore Sequencer Using Multi-scale (Nano-to-Device) Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part III, pp. 309-316, 2005, Springer, 3-540-26044-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Jun Suzuki, Akira Tsuji, Yuki Hayashi, Masaki Kan, Shinya Miyakawa |
Device-Level IoT with Virtual I/O Device Interconnection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CloudCom ![In: 2016 IEEE International Conference on Cloud Computing Technology and Science, CloudCom 2016, Luxembourg, December 12-15, 2016, pp. 67-74, 2016, IEEE Computer Society, 978-1-5090-1445-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
16 | David Esseni, Manuel Guglielmini, Bernard Kapidani, Tommaso Rollo, Massimo Alioto |
Tunnel FETs for Ultralow Voltage Digital VLSI Circuits: Part I - Device-Circuit Interaction and Evaluation at Device Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(12), pp. 2488-2498, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
16 | Tathagato Rai Dastidar, Partha Ray |
A New Device Level Digital Simulator for Simulation and Functional Verification of Large Semiconductor Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India, pp. 155-160, 2006, IEEE Computer Society, 0-7695-2502-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Steve C. Chiu, Alok N. Choudhary, Danli Wang |
Network and device-level impacts: performance and reliability of active I/O storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 41(2), pp. 163-178, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Performance analysis, Parallel I/O, MEMS, Distributed storage, InfiniBand |
14 | Ki-Sang Ok, Daniel W. Hong, Byung-Soo Chang |
The Design of Service Management System based on Policy-based Network Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNS ![In: 2006 International Conference on Networking and Services (ICNS 2006), 16-21 July 2006, Silicon Valley, California, USA, pp. 59, 2006, IEEE Computer Society, 0-7695-2622-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Timo Koskinen, Peter Y. K. Cheung |
Hierarchical tolerance analysis using statistical behavioral models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(5), pp. 506-516, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
14 | Risang Gatot Yudanto, Jianqiao Cheng, Erik Hostens, Miel Van der Wilt, Mats Vande Cavey |
Device-Level and System-Level Autocalibration of Ultra-Wide-Band Localization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPIN ![In: 13th International Conference on Indoor Positioning and Indoor Navigation, IPIN 2023, Nuremberg, Germany, September 25-28, 2023, pp. 1-7, 2023, IEEE, 979-8-3503-2011-4. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
14 | Xinjian Long, Xiangyang Gong, Yaguang Liu, Xirong Que, Wendong Wang |
Toward OS-Level and Device-Level Cooperative Scheduling for Multitasking GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 8, pp. 65711-65725, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
14 | Hao Bai, Chen Liu, Qian Li, Elena Breaz, Fei Gao 0003 |
An Accurate and Fast Device-Level Real-Time Simulation of Modular Multi-Level Converter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IAS ![In: IEEE Industry Applications Society Annual Meeting, IAS 2020, Detroit, MI, USA, October 10-16, 2020, pp. 1-7, 2020, IEEE, 978-1-7281-7192-0. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
14 | Saket Srivastava, Sanjukta Bhanja |
Hierarchical Probabilistic Macromodeling for QCA Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(2), pp. 174-190, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
QCA computing, QCA macromodel, Bayesian networks, Quantum-dot Cellular Automata, probabilistic computing |
14 | David Hardin, Mike Frerking, Philip Wiley, Gregory Bollella |
Getting Down and Dirty: Device-Level Programming Using the Real-Time Specification for Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Object-Oriented Real-Time Distributed Computing ![In: 5th International Symposiun on Object Oriented Real-Time Distributed Computing, ISORC 2002, Washington, DC, USA, April 29 - May 1, 2002, pp. 457-464, 2002, IEEE Computer Society, 0-7695-1558-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
14 | Florin Balasa |
Device-level placement for analog layout: an opportunity for non-slicing topological representations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 281-286, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
11 | Youjip Won, Jongmin Kim, Wonmin Jung |
Energy-aware disk scheduling for soft real-time I/O requests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 13(5-6), pp. 409-428, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Multimedia, Mobile device, Energy management, Disk scheduling, Soft real-time |
11 | Yunxiang Ling, Rui Li, Qizhi Chen, Songyang Lao |
Research on Command Space Cognitive Concept Model and Multi-fingers Touch Interactive Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007, Proceedings, pp. 1221-1230, 2007, Springer, 978-3-540-74170-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
command space, concept model, multi-fingers/two-handed touch interaction, cognition, interaction control |
10 | Cagdas Dirik, Bruce L. Jacob |
The performance of PC solid-state disks (SSDs) as a function of bandwidth, concurrency, device architecture, and system organization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 279-289, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
performance, flash memory, storage systems, solid state disks |
10 | Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 |
A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(3), pp. 349-357, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
10 | Juan Pablo García-Martín, Antonio Torralba 0002, Eduardo Hidalgo Fort, David Daza, Ramón González Carvajal |
IoT solution for smart water distribution networks based on a low-power wireless network, combined at the device-level: A case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Things ![In: Internet Things 22, pp. 100746, July 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
10 | Haohua Du, Yue Wang 0058, Xiaoya Xu, Mingsheng Liu |
Niffler: Real-time Device-level Anomalies Detection in Smart Home. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Web ![In: ACM Trans. Web 17(3), pp. 16:1-16:27, August 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
10 | Aniket S. Dalvi, Jacob Whitlow, Marissa D'Onofrio, Leon Riesebos, Tianyi Chen, Samuel Phiri, Kenneth R. Brown, Jonathan M. Baker |
One-Time Compilation of Device-Level Instructions for Quantum Subroutines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2308.10787, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
10 | Muhammad Hassan Nasir, Junaid Arshad, Muhammad Mubashir Khan |
Collaborative device-level botnet detection for internet of things. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Secur. ![In: Comput. Secur. 129, pp. 103172, June 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
10 | Susovan Chanda, Ashish Kumar Luhach, Waleed S. Alnumay, Indranil SenGupta, Diptendu Sinha Roy |
A lightweight device-level Public Key Infrastructure with DRAM based Physical Unclonable Function (PUF) for secure cyber physical systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. ![In: Comput. Commun. 190, pp. 87-98, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
10 | Chengzhang Lyu, Ning Lin, Venkata Dinavahi |
Device-Level Parallel-in-Time Simulation of MMC-Based Energy System for Electric Vehicles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Veh. Technol. ![In: IEEE Trans. Veh. Technol. 70(6), pp. 5669-5678, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Kevser Ovaz Akpinar, Ibrahim Özçelik |
Methodology to Determine the Device-Level Periodicity for Anomaly Detection in EtherCAT-Based Industrial Control Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Netw. Serv. Manag. ![In: IEEE Trans. Netw. Serv. Manag. 18(2), pp. 2308-2319, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Seyed Morteza Nabavinejad, Behzad Salami 0001 |
On the Impact of Device-Level Techniques on Energy-Efficiency of Neural Network Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2106.14079, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
10 | Juan Pablo García-Martín, Antonio Torralba 0002 |
Model of a Device-Level Combined Wireless Network Based on NB-IoT and IEEE 802.15.4 Standards for Low-Power Applications in a Diverse IoT Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 21(11), pp. 3718, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Verónica Toro-Betancur, Gopika Premsankar, Mariusz Slabicki, Mario Di Francesco |
Modeling Communication Reliability in LoRa Networks with Device-level Accuracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: 40th IEEE Conference on Computer Communications, INFOCOM 2021, Vancouver, BC, Canada, May 10-13, 2021, pp. 1-10, 2021, IEEE, 978-1-6654-0325-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
10 | Syed Rizvi 0001, Ryan Pipetti, Nicholas McIntyre, Jonathan Todd, Iyonna Williams |
Threat model for securing internet of things (IoT) network at device-level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Things ![In: Internet Things 11, pp. 100240, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Tian Liang, Zhen Huang 0005, Venkata Dinavahi |
Adaptive Real-Time Hybrid Neural Network-Based Device-Level Modeling for DC Traction HIL Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 8, pp. 69543-69556, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Zachariah Taylor, Hossein Akhavan-Hejazi, Hamed Mohsenian Rad |
Optimal Operation of Grid-Tied Energy Storage Systems Considering Detailed Device-Level Battery Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 16(6), pp. 3928-3941, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Sakineh Heidari, Hamzeh Alaibakhsh, Mohammad Azim Karami |
3D device-level simulation of charge separation from sidewall in vertical transfer gate pinned photodiode pixels for noise mitigation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Circuits Devices Syst. ![In: IET Circuits Devices Syst. 14(5), pp. 619-622, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Shishir Muralidhara, Niharika Hegde, Rekha PM |
An internet of things-based smart energy meter for monitoring device-level consumption of energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Electr. Eng. ![In: Comput. Electr. Eng. 87, pp. 106772, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Josep Maria Sánchez-Chiva, Juan Valle, Daniel Fernández, Jordi Madrenas |
A CMOS-MEMS BEOL 2-axis Lorentz-Force Magnetometer with Device-Level Offset Cancellation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 20(20), pp. 5899, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Natalie Beams, Ahmad Abdelfattah, Stan Tomov, Jack J. Dongarra, Tzanio V. Kolev, Yohann Dudouit |
High-Order Finite Element Method using Standard and Device-Level Batch GEMM on GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ScalA@SC ![In: 11th IEEE/ACM Workshop on Latest Advances in Scalable Algorithms for Large-Scale Systems, ScalA@SC 2020, Atlanta, GA, USA, November 13, 2020, pp. 53-60, 2020, IEEE, 978-1-6654-2270-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Pawana Shrestha, Matthew Guidry, Brian Romanczyk, Rohit R. Karnaty, Nirupam Hatui, Christian Wurm, Athith Krishna, Shubhra S. Pasayat, Stacia Keller, James F. Buckwalter, Umesh K. Mishra |
A Novel Concept using Derivative Superposition at the Device-Level to Reduce Linearity Sensitivity to Bias in N-polar GaN MISHEMT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DRC ![In: 2020 Device Research Conference, DRC 2020, Columbus, OH, USA, June 21-24, 2020, pp. 1-2, 2020, IEEE, 978-1-7281-7047-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
10 | Ryan Beckett, Ratul Mahajan, Todd D. Millstein, Jitendra Padhye, David Walker 0001 |
Don't mind the gap: Bridging network-wide objectives and device-level configurations: brief reflections on abstractions for network programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. Rev. ![In: Comput. Commun. Rev. 49(5), pp. 104-106, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Tunde Akeem Yekini, Fehmi Jaafar, Pavol Zavarsky |
Study of Trust at Device Level of the Internet of Things Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 19th IEEE International Symposium on High Assurance Systems Engineering, HASE 2019, Hangzhou, China, January 3-5, 2019, pp. 150-155, 2019, IEEE, 978-1-5386-8540-2. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Jens Trommer, Maik Simon, Stefan Slesazeck, Walter M. Weber, Thomas Mikolajick |
Eliminating Charge Sharing in Clocked Logic Gates on the Device Level Employing Transistors with Multiple Independent Inputs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSDERC ![In: 49th European Solid-State Device Research Conference, ESSDERC 2019, Cracow, Poland, September 23-26, 2019, pp. 134-137, 2019, IEEE, 978-1-7281-1539-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Kentaro Kojima, Kodai Yamada, Jun Furuta, Kazutoshi Kobayashi |
An Accurate Device-Level Simulation Method to Estimate Cross Sections of Single Event Upsets by Silicon Thickness in Raised Layer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IRPS ![In: IEEE International Reliability Physics Symposium, IRPS 2019, Monterey, CA, USA, March 31 - April 4, 2019, pp. 1-5, 2019, IEEE, 978-1-5386-9504-3. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Dilip P. Vasudevan, George Michelogiannakis, David Donofrio, John Shalf |
PARADISE - Post-Moore Architecture and Accelerator Design Space Exploration Using Device Level Simulation and Experiments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2019, Madison, WI, USA, March 24-26, 2019, pp. 139-140, 2019, IEEE, 978-1-7281-0746-2. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Patrick Zimmermann, Etienne Axmann, Benjamin Brandenbourger, Kirill Dorofeev, André Mankowski, Paulo Zanini |
Skill-based Engineering and Control on Field-Device-Level with OPC UA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: 24th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2019, Zaragoza, Spain, September 10-13, 2019, pp. 1101-1108, 2019, IEEE, 978-1-7281-0303-7. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
10 | Jinhua Cui 0001, Youtao Zhang, Weiguo Wu, Jun Yang 0002, Yinfeng Wang, Jianhang Huang |
DLV: Exploiting Device Level Latency Variations for Performance Improvement on Flash Memory Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8), pp. 1546-1559, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
10 | Bijay Neupane, Torben Bach Pedersen, Bo Thiesson |
Utilizing Device-level Demand Forecasting for Flexibility Markets - Full Version. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1805.00702, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
10 | Bijay Neupane, Torben Bach Pedersen, Bo Thiesson |
Utilizing Device-level Demand Forecasting for Flexibility Markets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
e-Energy ![In: Proceedings of the Ninth International Conference on Future Energy Systems, e-Energy 2018, Karlsruhe, Germany, June 12-15, 2018, pp. 108-118, 2018, ACM, 978-1-4503-5767-8. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
10 | Akihiro Misawa, Susumu Date, Keichi Takahashi, Takashi Yoshikawa, Masahiko Takahashi, Masaki Kan, Yasuhiro Watashiba, Yoshiyuki Kido, Chonho Lee, Shinji Shimojo |
Dynamic Reconfiguration of Computer Platforms at the Hardware Device Level for High Performance Computing Infrastructure as a Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLOSER (Selected Papers) ![In: Cloud Computing and Service Science - 7th International Conference, CLOSER 2017, Porto, Portugal, April 24-26, 2017, Revised Selected Papers, pp. 177-199, 2017, Springer, 978-3-319-94958-1. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
10 | Ryan Beckett, Ratul Mahajan, Todd D. Millstein, Jitendra Padhye, David Walker 0001 |
Don't Mind the Gap: Bridging Network-wide Objectives and Device-level Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 2016 Conference, Florianopolis, Brazil, August 22-26, 2016, pp. 328-341, 2016, ACM, 978-1-4503-4193-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
10 | Bijay Neupane, Laurynas Siksnys, Torben Bach Pedersen |
DeMand: A Tool for Evaluating and Comparing Device-Level Demand and Supply Forecast Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT/ICDT Workshops ![In: Proceedings of the Workshops of the EDBT/ICDT 2016 Joint Conference, EDBT/ICDT Workshops 2016, Bordeaux, France, March 15, 2016., 2016, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
10 | Changze Liu, Hyun-Chul Sagong, Hyejin Kim, Seungjin Choo, Hyunwoo Lee, Yoohwan Kim, Hyunjin Kim, Bisung Jo, Minjung Jin, Jinjoo Kim, Sangsu Ha, Sangwoo Pae, Jongwoo Park 0001 |
Systematical study of 14nm FinFET reliability: From device level stress to product HTOL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IRPS ![In: IEEE International Reliability Physics Symposium, IRPS 2015, Monterey, CA, USA, April 19-23, 2015, pp. 2, 2015, IEEE, 978-1-4673-7362-3. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
10 | Andreas Veit, Hans-Arno Jacobsen |
Multi-agent device-level modeling framework for demand scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SmartGridComm ![In: 2015 IEEE International Conference on Smart Grid Communications, SmartGridComm 2015, Miami, FL, USA, November 2-5, 2015, pp. 169-174, 2015, IEEE, 978-1-4673-8289-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
10 | Wentao Wang, Zhuoxuan Shen, Venkata Dinavahi |
Physics-Based Device-Level Power Electronic Circuit Hardware Emulation on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 10(4), pp. 2166-2179, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Eri Rubin, Ely Levy, Amnon Barak, Tal Ben-Nun |
MAPS: Optimizing Massively Parallel Applications Using Device-Level Memory Abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 11(4), pp. 44:1-44:22, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Bijay Neupane, Torben Bach Pedersen, Bo Thiesson |
Towards Flexibility Detection in Device-Level Energy Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DARE ![In: Data Analytics for Renewable Energy Integration - Second ECML PKDD Workshop, DARE 2014, Nancy, France, September 19, 2014, Revised Selected Papers, pp. 1-16, 2014, Springer, 978-3-319-13289-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Anja Zernig, Olivia Bluder, Jürgen Pilz, Andre Kästner |
Device level maverick screening: detection of risk devices through independent component analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2014 Winter Simulation Conference, Savannah, GA, USA, December 7-10, 2014, pp. 2661-2670, 2014, IEEE/ACM. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Kenji Kono, Shunsuke Miyahara, Hiroshi Yamada, Takeshi Yoshimura |
FoxyFeed: Forging Device-Level Asynchronous Events for Kernel Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 20th IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 2014, Singapore, November 18-21, 2014, pp. 145-154, 2014, IEEE Computer Society, 978-1-4799-6474-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
10 | Albin Bayerl, Mario Lanza, Lidia Aguilera, Marc Porti, Montserrat Nafría, Xavier Aymerich, Stefan De Gendt |
Nanoscale and device level electrical behavior of annealed ALD Hf-based gate oxide stacks grown with different precursors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 53(6), pp. 867-871, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
10 | Raja Subramani, Bharath Radhakrishnan, Krishnamurthy Puttaiah |
Complete Device Level Validation of Solid State Flash Drives - An Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UKSim ![In: 15th International Conference on Computer Modelling and Simulation, UKSim 2013, Cambridge, United Kingdom, April 10-12, 2013, pp. 401-406, 2013, IEEE, 978-1-4673-6421-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
10 | André Sieber, Jörg Nolte |
Online Device-Level Energy Accounting for Wireless Sensor Nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWSN ![In: Wireless Sensor Networks - 10th European Conference, EWSN 2013, Ghent, Belgium, February 13-15, 2013. Proceedings, pp. 149-164, 2013, Springer, 978-3-642-36671-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
10 | Sanghyuk Jung, Yong Ho Song |
Device-level voltage control scheme of MLC NAND flash memory for storage power failure recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCE ![In: IEEE International Conference on Consumer Electronics, ICCE 2013, Las Vegas, NV, USA, January 11-14, 2013, pp. 544-545, 2013, IEEE, 978-1-4673-1361-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
10 | Christian Beckel, Wilhelm Kleiminger, Thorsten Staake, Silvia Santini |
Improving device-level electricity consumption breakdowns in private households using ON/OFF events. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGBED Rev. ![In: SIGBED Rev. 9(3), pp. 32-38, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
10 | Stjepan Sucic, Bernard Bony, Laurent Guise, François Jammes, Ante Marusic |
Integrating DPWS and OPC UA device-level SOA features into IEC 61850 applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IECON ![In: 38th Annual Conference on IEEE Industrial Electronics Society, IECON 2012, Montreal, QC, Canada, October 25-28, 2012, pp. 5773-5778, 2012, IEEE, 978-1-4673-2419-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
10 | Gonçalo Cândido, José Barata, Armando W. Colombo |
Service-oriented infrastructure at device level to implement agile factories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, SMC 2012, Seoul, Korea (South), October 14-17, 2012, pp. 1171-1176, 2012, IEEE, 978-1-4673-1713-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
10 | Guillermo L. Taboada, Juan Touriño, Ramon Doallo, Aamir Shafi, Mark Baker, Bryan Carpenter |
Device level communication libraries for high-performance computing in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 23(18), pp. 2382-2403, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
10 | Thomas Bigler, Georg Gaderer, Patrick Loschmidt, Thilo Sauter |
SmartFridge: Demand Side Management for the device level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: IEEE 16th Conference on Emerging Technologies & Factory Automation, ETFA 2011, Toulouse, France, September 5-9, 2011, pp. 1-8, 2011, IEEE, 978-1-4577-0018-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
10 | Hua Si, Shunsuke Saruwatari, Masateru Minami, Hiroyuki Morikawa |
A Ubiquitous Power Management System to Balance Energy Savings and Response Time Based on Device- level Usage Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 18, pp. 147-163, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
10 | Jialiang Wang, Hai Zhao, Peng Li, Hui Li 0018, Bo Li 0003 |
Analysis and Comparison of Five Kinds of Typical Device-Level Embedded Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Softw. Eng. Appl. ![In: J. Softw. Eng. Appl. 3(1), pp. 81-90, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
10 | Markus Eisenhauer, Christian Prause, Marco Jahn, Marc Jentsch |
Middleware for Wireless Devices and Sensors - Energy Efficiency at Device Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECON ![In: Proceedings of the Seventh Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON 2010, June 21-25, 2010, Boston, Massachusetts, USA, pp. 1-3, 2010, IEEE, 978-1-4244-7151-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
10 | Valeriu Beiu, Walid Ibrahim, Sanja Lazarova-Molnar |
Device-Level Majority von Neumann Multiplexing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Artificial Intelligence ![In: Encyclopedia of Artificial Intelligence (3 Volumes), pp. 471-479, 2009, IGI Global, 9781599048499. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
10 | Bjorn Vermeersch, Gilbert De Mey |
Dynamic electrothermal simulation of integrated resistors at device level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. J. ![In: Microelectron. J. 40(9), pp. 1411-1416, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
10 | Olli Post, Jari Seppälä, Hannu Koivisto |
The Performance of OPC-UA Security Model at Field Device Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICINCO-RA ![In: ICINCO 2009, Proceedings of the 6th International Conference on Informatics in Control, Automation and Robotics, Volume Robotics and Automation, Milan, Italy, July 2-5, 2009, pp. 337-341, 2009, INSTICC Press, 978-989-674-000-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
10 | Jürgen Kemper, Tobias Wegner, Peter Resch, Holger Linde, Peter Schramm, Benjamin Wilms, Sebastian Prost, Markus Walter |
Parameterizable UPnP - Device level SOA with hot-config capabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: International Conference on Automation, Robotics and Control Systems, ARCS-08, Orlando, Florida, USA, July 7-10, 2008, pp. 89-96, 2008, ISRST, 978-1-60651-001-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
10 | Thomas Frenken, Patrik Spiess, Jürgen Anke |
A Flexible and Extensible Architecture for Device-Level Service Deployment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ServiceWave ![In: Towards a Service-Based Internet, First European Conference, ServiceWave 2008, Madrid, Spain, December 10-13, 2008. Proceedings, pp. 230-241, 2008, Springer, 978-3-540-89896-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Internet of Services (IoS), Service Deployment and Configuration, Deployment Planning and Execution, SOCRADES, Service-Oriented Architecture (SOA), Internet of Things (IoT) |
10 | Guangyu Huang, Cher Ming Tan |
Device level electrical-thermal-stress coupled-field modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 46(9-11), pp. 1823-1827, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Steve C. Chiu, Alok N. Choudhary |
Impact of Interconnect Protocols and Device-Level Performance on Distributed Active Storage Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 2005, Las Vegas, Nevada, USA, June 27-30, 2005, Volume 1, pp. 393-402, 2005, CSREA Press, 1-932415-58-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP BibTeX RDF |
|
10 | Sarat C. Maruvada, Karthik Krishnamoorthy, Florin Balasa, Lucian M. Ionescu |
Red-Black Interval Trees in Device-Level Analog Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 86-A(12), pp. 3127-3135, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
10 | Florin Balasa, Sarat C. Maruvada, Karthik Krishnamoorthy |
Using red-black interval trees in device-level analog placement with symmetry constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ASP-DAC '03, Kitakyushu, Japan, January 21-24, 2003, pp. 777-782, 2003, ACM, 0-7803-7660-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
10 | Chieh Lin, Domine M. W. Leenaerts |
A new efficient method for substrate-aware device-level placement (short paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan, pp. 533-536, 2000, ACM, 0-7803-5974-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
10 | Xiaopeng Li, Mohammed Ismail 0001 |
Speed improvement of ΣΔ modulators simulation at the device level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 6th IEEE International Conference on Electronics, Circuits and Systems, ICECS 1999, Pafos, Cyprus, September 5-8, 1999, pp. 1507-1510, 1999, IEEE, 0-7803-5682-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
10 | Christian V. Schimpfle, Sven Simon 0001, Josef A. Nossek |
Device level based cell modeling for fast power estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 90-93, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
10 | Prakash Gopalakrishnan, Vinita Vasudevan |
A Modified Line Expansion Algorithm for Device-level Routing of Analog Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 249-252, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
routing, layout, analog circuits |
10 | Gregory L. Ries, Gwan S. Choi, Ravishankar K. Iyer |
Device-Level Transient Fault Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS/24, The Twenty-Fourth Annual International Symposium on Fault-Tolerant Computing, Austin, Texas, USA, June 15-17, 1994, pp. 86-94, 1994, IEEE Computer Society, 0-8186-5520-8. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
10 | Gwan S. Choi, Ravishankar K. Iyer, Daniel G. Saab |
Fault behavior dictionary for simulation of device-level transients. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993, pp. 6-9, 1993, IEEE Computer Society / ACM, 0-8186-4490-7. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
10 | Jarke J. van Wijk |
Good textbook for computer graphics students : Interactive computer graphics: functional, procedural and device-level methods P Burger and D Gillies Addison-Wesley (1989) 504 pp. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Aided Des. ![In: Comput. Aided Des. 22(5), pp. 315, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
10 | Peter Burger, Duncan Gillies |
Interactive computer graphics - functional, procedural and device-level methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1989 |
RDF |
|
10 | Jeffrey P. Buzen, Annie W. Shum |
Analysis of Device Level Select in IBM 3380 Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. CMG Conference ![In: Eleventh International Computer Measurement Group Conference, Dallas, TX, USA, December 9-13, 1985, Proceedings, pp. 154-160, 1985, Computer Measurement Group. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP BibTeX RDF |
|
10 | Michael E. Senko, Edward B. Altman |
DIAM II and Levels of Abstraction - The Physical Device Level: A General Model for Access Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB ![In: Systems for Large Data Bases, September 8-10, 1976, Brussels, Belgium., pp. 79-94, 1976, North Holland & IFIP, 0-7204-0546-7. The full citation details ...](Pics/full.jpeg) |
1976 |
DBLP BibTeX RDF |
|
10 | Kamal S. Khouri, Niraj K. Jha |
Leakage power analysis and reduction during behavioral synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 10(6), pp. 876-885, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
10 | Basab Datta, Wayne P. Burleson |
Circuit-level NBTI macro-models for collaborative reliability monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 453-458, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
macro-models, on-chip sensors, calibration, NBTI |
10 | Vikas Aggarwal, Rafael García, Greg Stitt, Alan D. George, Herman Lam |
SCF: a device- and language-independent task coordination framework for reconfigurable, heterogeneous systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPRCTA@SC ![In: Proceedings of the Third International Workshop on High-Performance Reconfigurable Computing Technology and Applications, HPRTCA 2009, November 15, 2009, Portland, Oregon, USA, pp. 19-28, 2009, ACM, 978-1-60558-721-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
communication, coordination, reconfigurable computing, productivity, portability, heterogeneous computing, accelerators |
10 | Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 |
Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(8), pp. 1486-1495, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
10 | Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 |
Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 224-229, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 200 (100 per page; Change: ) Pages: [ 1][ 2][ >>] |
|