The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase dual-Vth (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000-2005 (20) 2006-2008 (19) 2009-2013 (15) 2014-2015 (2)
Publication types (Num. hits)
article(9) inproceedings(47)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 50 occurrences of 38 keywords

Results
Found 56 publication records. Showing 56 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
205Aswath Oruganti, Nagarajan Ranganathan Leakage Power Reduction in Dual-Vdd and Dual-Vth Designs through Probabilistic Analysis of Vth Variation. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
139Yu Ching Chang, King Ho Tam, Lei He 0001 Power-optimal repeater insertion considering Vdd and Vth as design freedoms. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, buffer insertion
133Michael Liu, Wei-Shen Wang, Michael Orshansky Leakage power reduction by dual-vth designs under probabilistic analysis of vth variation. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF variability, yield, power minimization
112Chandra S. Nagarajan, Lin Yuan, Gang Qu 0001, Barbara G. Stamps Leakage optimization using transistor-level dual threshold voltage cell library. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
85Ashish Srivastava, Dennis Sylvester, David T. Blaauw Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, power dissipation, multiple voltages
74Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
67Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Leakage power reduction using stress-enhanced layouts. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, mobility, layout, leakage, stress
65Xiaoyong Tang, Hai Zhou 0001, Prithviraj Banerjee Leakage power optimization with dual-Vth library in high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vth, optimization, high-level synthesis, leakage power
49Brian Cline, Vivek Joshi, Dennis Sylvester, David T. Blaauw STEEL: a technique for stress-enhanced standard cell library design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Yen-Te Ho, TingTing Hwang Low power design using dual threshold voltage. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Frank Sill, Jiaxi You, Dirk Timmermann Design of mixed gates for leakage reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed gates, leakage current, threshold voltage, gate leakage
39Sherif A. Tawfik, Volkan Kursun Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-Vth, supply voltage scaling, temperature variations, clock skew, frequency scaling, dual-VDD
36Lih-Yih Chiou, Shien-Chun Luo An Energy-Efficient Dual-Edge Triggered Level-Converting Flip-Flop. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Yuanlin Lu, Vishwani D. Agrawal Statistical Leakage and Timing Optimization for Submicron Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Kazuei Hironaka, Hideharu Amano Power Centric Application Mapping for Dynamically Reconfigurable Processor Array with Dual Vdd and Dual Vth. Search on Bibsonomy ReConFig The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Kun-Lin Tsai, Szu-Wei Chaung, Feipei Lai, Shanq-Jang Ruan A low power scheduling method using dual Vdd and dual Vth. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee, Adit D. Singh Low-power dual Vth pseudo dual Vdd domino circuits. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NMOS pull-up, low power, domino logic, dual supply voltages, dual threshold voltages
31Jun-Cheol Park, Vincent John Mooney III, Philipp Pfeiffenberger Sleepy Stack Reduction of Leakage Power. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Frank Sill, Frank Grassert, Dirk Timmermann Low power gate-level design with mixed-Vth (MVT) techniques. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MVT, leakage currents, threshold voltage
26Samaneh Ghandali, Bijan Alizadeh, Zainalabedin Navabi Low power scheduling in high-level synthesis using dual-Vth library. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
26Anne Lorraine S. Luna, John Richard E. Hizon, Louis P. Alarcón Timing analysis and optimization of voltage scaled CMOS digital circuits with dual-Vth devices. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Mohammad Mirzaei, Mahdi Mosaffa, Siamak Mohammadi, Jelena Trajkovic Power and Variability Improvement of an Asynchronous Router Using Stacking and Dual-Vth Approaches. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Masoud Rostami, Kartik Mohanram Dual-Vth Independent-Gate FinFETs for Low Power Logic Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Sudhakar S. Mande, Saurabh A. Chandorkar, A. N. Chandorkar Process variation aware dual-Vth assignment technique for low power nanoscale CMOS design. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Andrea Calimera, R. Iris Bahar, Enrico Macii, Massimo Poncino Temperature-Insensitive Dual- Vth Synthesis for Nanometer CMOS Technologies Under Inverse Temperature Dependence. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Saraju P. Mohanty, Dhiraj K. Pradhan ULS: A dual-Vth/high-kappa nano-CMOS universal level shifter for system-level power management. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Junbo Yu, Qiang Zhou 0001, Gang Qu 0001, Jinian Bian Behavioral level dual-vth design for reduced leakage power with thermal awareness. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Meng Tie, Haiying Dong, Tong Wang, Xu Cheng Dual-Vth leakage reduction with Fast Clock Skew Scheduling Enhancement. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Masoud Rostami, Kartik Mohanram Novel dual-Vth independent-gate FinFET circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Saraju P. Mohanty, Dhruva Ghai, Elias Kougianos A P4VT (Power Performance Process Parasitic Voltage Temperature) Aware Dual-VTh Nano-CMOS VCO. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
26Yu Wang 0002, Xukai Shen, Rong Luo, Huazhong Yang Leakage Power Reduction through Dual Vth Assignment Considering Threshold voltage Variation. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Weixiang Shen, Yici Cai, Xianlong Hong Leakage power optimization for clock network using dual-Vth technology. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Canh Quang Tran, Hiroshi Kawaguchi 0001, Takayasu Sakurai Low-Power Low-Leakage FPGA Design Using Zigzag Power Gating, Dual-VTH/VDD and Micro-VDD-Hopping. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Wei-Shen Wang, Michael Liu, Michael Orshansky Analysis of Leakage Power Reduction in Dual-Vth Technologies in the Presence of Large Threshold Voltage Variation. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Azadeh Davoodi, Ankur Srivastava 0001 Probabilistic dual-Vth leakage optimization under variability. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, process variations, leakage, automatic synthesis
26Ashish Srivastava, Dennis Sylvester, David T. Blaauw Statistical optimization of leakage power considering process variations using dual-Vth and sizing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, variability, leakage
26Masayuki Hirabayashi, Koichi Nose, Takayasu Sakurai Design methodology and optimization strategy for dual-VTH scheme using commercially available tools. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Liqiong Wei, Kaushik Roy 0001, Cheng-Kok Koh Power minimization by simultaneous dual-Vth assignment and gate-sizing. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Behnam Ghavami, Mehrshad Khosraviani, Hossein Pedram Power Optimization of Asynchronous Circuits through Simultaneous Vdd and Vth Assignment and Template Sizing. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Shrikanth Ganapathy, Ramon Canal, Antonio González 0001, Antonio Rubio 0001 MODEST: a model for energy estimation under spatio-temporal variability. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dsm scaling, spatio-temporal variability, cache design
18Garima Thakral, Saraju P. Mohanty, Dhruva Ghai, Dhiraj K. Pradhan A DOE-ILP assisted conjugate-gradient based power and stability optimization in High-K Nano-CMOS SRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nano-CMOS, power, leakage, SRAM, static noise margin
18Saraju P. Mohanty, Dhruva Ghai, Elias Kougianos, Bharat Joshi A universal level converter towards the realization of energy efficient implantable drug delivery Nano-Electro-Mechanical-Systems. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Swarup Bhunia, Kaushik Roy 0001 Low power design under parameter variations. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Charbel J. Akl, Magdy A. Bayoumi Self-Sleep Buffer for Distributed MTCMOS Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yu Cao, Lawrence T. Clark Mapping Statistical Process Variations Toward Circuit Performance Variability: An Analytical Modeling Approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Self-Compensating Design for Reduction of Timing and Leakage Sensitivity to Systematic Pattern-Dependent Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Se Hun Kim, Vincent John Mooney Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 A new paradigm for low-power, variation-tolerant circuit synthesis using critical path isolation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A leakage-aware CAD flow for MTCMOS FPGA architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF activity profile, basic logic elements (BLEs), configurable logic blocks (CLBs), sleep transistor (ST), sub-threshold leakage power, FPGA, packing
18Yu Cao, Lawrence T. Clark Mapping statistical process variations toward circuit performance variability: an analytical modeling approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay, process variations, variability
18Bhaskar Chatterjee, Manoj Sachdev, Ram Krishnamurthy 0001 Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for sub-130 nm CMOS Technologies. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Bipul Chandra Paul, Cassondra Neau, Kaushik Roy 0001 Impact of Body Bias on Delay Fault Testing of Nanoscale CMOS Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Robert Bai, Sarvesh H. Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David T. Blaauw An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ARM processor, Low power design, CVS, Dual-Vt
18Shobha Singh, Shamsi Azmi, Nutan Aarawal, Penaka Phani, Ansuman Rout Architecture and Design of a High Performance SRAM for SOC Design. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #56 of 56 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license