The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase dual-supply (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2002 (18) 2003-2004 (16) 2005-2006 (15) 2007-2009 (16) 2010-2014 (19) 2016-2021 (16) 2022-2023 (5)
Publication types (Num. hits)
article(38) inproceedings(67)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 37 occurrences of 24 keywords

Results
Found 105 publication records. Showing 105 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
60Kazuki Fukuoka, Masaaki Iijima, Kenji Hamada, Masahiro Numa, Akira Tada A Novel Layout Approach Using Dual Supply Voltage Technique on Body-Tied PD-SOI. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Low-swing clock domino logic incorporating dual supply and dual threshold voltages. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low swing clock, low power, domino logic, dual supply voltage, dual threshold voltage
53Deming Chen, Jason Cong, Fei Li 0003, Lei He 0001 Low-power technology mapping for FPGA architectures with dual supply voltages. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power FPGA, technology mapping, dual supply voltage
53Farhana Sheikh, Andreas Kuehlmann, Kurt Keutzer Minimum-power retiming for dual-supply CMOS circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dual-supply, retiming theory, low-power, synthesis, low-power design
49Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Level-shifter free design of low power dual supply voltage CMOS circuits using dual threshold voltages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Level-Shifter Free Design of Low Power Dual Supply Voltage CMOS Circuits Using Dual Threshold Voltages. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Fujio Ishihara, Farhana Sheikh, Borivoje Nikolic Level conversion for dual-supply systems. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF level conversion, flip-flop, dual-supply voltage
40Nestoras Tzartzanis, William W. Walker A Transparent Voltage Conversion Method and Its Application to a Dual-Supply-Voltage Register File. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Sherif A. Tawfik, Volkan Kursun Low-Power Low-Voltage Hot-Spot Tolerant Clocking with Suppressed Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Sherif A. Tawfik, Volkan Kursun Dual-V_DD Clock Distribution for Low Power and Minimum Temperature Fluctuations Induced Skew. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Steven Hsu, Amit Agarwal 0001, Kaushik Roy 0001, Ram Krishnamurthy 0001, Shekhar Borkar An 8.3GHz dual supply/threshold optimized 32b integer ALU-register file loop in 90nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vt/Vcc, flip-flop, hot spot, level converter
36Ching-Wei Yeh, Min-Cheng Chang, Yin-Shuin Kang Algorithms Promoting the Use of Dual Supply Voltages for Power-Driven Designs. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Chunhong Chen, Ankur Srivastava 0001, Majid Sarrafzadeh On gate level power optimization using dual-supply voltages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34David Nguyen, Abhijit Davare, Michael Orshansky, David G. Chinnery, Brandon Thompson, Kurt Keutzer Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual threshold, sizing, dual supply voltage, simultaneous
33Insup Shin, Seungwhun Paik, Youngsoo Shin Register allocation for high-level synthesis using dual supply voltages. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, high-level synthesis, register allocation, dual supply voltage
33Bhaskar Chatterjee, Manoj Sachdev, Ram Krishnamurthy 0001 A CPL-based dual supply 32-bit ALU for sub 180nm CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DSM leakage control and scaling trends, dual supply ALU design, low power techniques
33Deming Chen, Jason Cong Delay optimal low-power circuit clustering for FPGAs with dual supply voltages. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF circuit clustering, low-power FPGA, dual supply voltage
32Torsten Mahnke, Walter Stechele, Wolfgang Hoeld Dual Supply Voltage Scaling in a Conventional Power-Driven Logic Synthesis Environment. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Chunhong Chen, Majid Sarrafzadeh Provably good algorithm for low power consumption with dual supply voltages. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Rohini Krishnan, R. I. M. P. Meijer, Durand Guillaume Energy-efficient FPGA interconnect architecture design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Jean Michel Daga, Caroline Papaix, Marylene Combe, Emmanuel Racape, Vincent Sialelli Embedded EEPROM Speed Optimization Using System Power Supply Resources. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Zohreh Karimi, Majid Sarrafzadeh Power aware placement for FPGAs with dual supply voltages. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Siyuan Zhang, Zhicheng Wang, Min Tan 0004 A Dual-Channel Switching-Linear Series-Connected Hybrid Dynamic Power Supply with Dual-Supply LDOs for Thermo-Optical Tuning. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Yuvraj Singh Dhillon, Abdulkadir Utku Diril, Abhijit Chatterjee, Adit D. Singh Low-power dual Vth pseudo dual Vdd domino circuits. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NMOS pull-up, low power, domino logic, dual supply voltages, dual threshold voltages
20Qadeer Ahmad Khan, G. K. Siddhartha A sequence independent power-on-reset circuit for multi-voltage systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Weisheng Chong, Masanori Hariyama, Michitaka Kameyama Low-Power Field-Programmable VLSI Processor Using Dynamic Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Tim Schoenauer, Jörg Berthold, Christoph Heer Reduced Leverage of Dual Supply voltages in Ultra Deep Submicron Technologies. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Steffen Klosterhalfen, Stefan Minner, Sean P. Willems Strategic Safety Stock Placement in Supply Networks with Static Dual Supply. Search on Bibsonomy Manuf. Serv. Oper. Manag. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Chien-Cheng Yu, Weiping Wang, Bin-Da Liu A new level converter for low-power applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Sherif A. Tawfik, Volkan Kursun Dual Supply Voltages and Dual Clock Frequencies for Lower Clock Power and Suppressed Temperature-Gradient-Induced Clock Skew. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Shaishav Desai, Pradeep Trivedi, Vincent Von Kanael A Dual-Supply 0.2-to-4GHz PLL Clock Multiplier in a 65nm Dual-Oxide CMOS Process. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Stephanie Augsburger, Borivoje Nikolic Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Rajarshi Mukherjee, Song Liu, Seda Ogrenci Memik, Somsubhra Mondal A high-level clustering algorithm targeting dual Vdd FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, field programmable gate arrays, partitioning, placement, voltage scaling, Dynamic power
16Shaoxiong Hua, Gang Qu 0001 Energy-efficient dual-voltage soft real-time system with (m, k)-firm deadline guarantee. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF (m, k)-firm, low-power, dynamic voltage scaling, soft real-time
16Shu-Shin Chin, Sangjin Hong, Suhwan Kim Usage of Application-Specific Switching Activity for Energy Minimization of Arithmetic Units. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chang-Ching Yeh, Kuei-Chung Chang, Tien-Fu Chen, Chingwei Yeh Reducing Branch Misprediction Penalties Via Adaptive Pipeline Scaling. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Bin Liu 0007, Yici Cai, Qiang Zhou 0001, Xianlong Hong Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kun-Lin Tsai, Szu-Wei Chaung, Feipei Lai, Shanq-Jang Ruan A low power scheduling method using dual Vdd and dual Vth. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kai-Chiang Wu, Diana Marculescu Power-aware soft error hardening via selective voltage scaling. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Antonio J. López-Martín, Alfonso Carlosena, Jaime Ramírez-Angulo, Ramón González Carvajal Rail-to-rail tunable CMOS V-I converter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Pak Kwong Chan, Grani Adiwena Hanasusanto, Hendrata B. Tan, Vincent Keng Sian Ong A Micropower CMOS Amplifier for Portable Surface EMG Recording. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Adrian Kneip, David Bol A 7T-NDR Dual-Supply 28-nm FD-SOI Ultra-Low Power SRAM With 0.23-nW/kB Sleep Retention and 0.8 pJ/32b Access at 64 MHz With Forward Back Bias. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Yael Perlman, Yaacov Ozinci, Sara Westrich Pricing decisions in a dual supply chain of organic and conventional agricultural products. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Cristian Raducan, Marius Neag, Adrian-Gabriel Bajenaru Automotive Switched-Capacitor DC-DC Converter With High BW Power Mirror and Dual Supply Driver. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Siyuan Zhang, Ken Xingze Wang, Min Tan 0004 An Eight-Channel Switching-Linear Hybrid Dynamic Regulator With Dual-Supply LDOs for Thermo-Optic Tuning. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Ersin Alaybeyoglu, Deniz Özenli Operational Amplifier Design Employing DTMOS Technique with Dual Supply Voltages. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Mehrdad Morsali, Mohammad Hossein Moaiyeri Ultra-High-Performance Magnetic Nonvolatile Level Converter Flip-Flop with Spin-Hall Assistance for Dual-Supply Systems with Power Gating Architecture. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
11Zhibing Liu, Geni Xu, Chi Zhou 0001, Huiru Chen Retailer's decision selection with dual supply uncertainties under different reliability levels of serving the market. Search on Bibsonomy RAIRO Oper. Res. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
11Maliang Liu, Dengquan Li, Zhangming Zhu A Dual-Supply Two-Stage CMOS Op-amp for High-Speed Pipeline ADCs Application. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
11Ersin Alaybeyoglu, Hakan Kuntman On the Performance Improvement of OTA in Sub-Threshold Region with Dual Supply. Search on Bibsonomy ECCTD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
11Weng-Geng Ho, Ne Kyaw Zwa Lwin, Nay Aung Kyaw, Jun-Sheng Ng, Juncheng Chen, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang A DPA-Resistant Asynchronous-Logic NoC Router with Dual-Supply-Voltage-Scaling for Multicore Cryptographic Applications. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
11Teng Xu 0001, Miodrag Potkonjak Circuit power optimization using pipelining and dual-supply voltage assignment. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Hung-Hsien Wu, Chi-Hsiang Huang 0001, Chia-Ling Wei, Jih-Sheng Lai 0001 Bidirectional Single-Inductor Dual-Supply Converter With Automatic State-Transition for IoT Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Yunfei Gu, Dengxue Yan, Vaibhav Verma, Mircea R. Stan, Xuan Zhang 0001 SRAM based opportunistic energy efficiency improvement in dual-supply near-threshold processors. Search on Bibsonomy DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
11Taehyung Kim, Sangshin Kwak A Flexible Voltage Bus Converter for the 48-/12-V Dual Supply System in Electrified Vehicles. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11S. Rasool Hosseini, Mehdi Saberi, Reza Lotfi A High-Speed and Power-Efficient Voltage Level Shifter for Dual-Supply Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
11Amit Chhabra, Yagnesh Dineshbhai Vaderiya Low-Energy Power-ON-Reset Circuit for Dual Supply SRAM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Freddy Forero, Andres F. Gomez, Víctor H. Champac Improvement of Negative Bias Temperature Instability Circuit Reliability and Power Consumption Using Dual Supply Voltage. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Teng Xu 0001, Miodrag Potkonjak Pipelining for dual supply voltages. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Teng Xu 0001, Miodrag Potkonjak Retiming and dual-supply voltage based energy optimization for DSP applications. Search on Bibsonomy ICASSP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Freddy Forero, Andres F. Gomez, Víctor H. Champac A methodology for NBTI circuit reliability at reduced power consumption using dual supply voltage. Search on Bibsonomy LATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
11Ji-Zhong Shen, Liang Geng, Guang-Ping Xiang, Jianwei Liang Low-power level converting flip-flop with a conditional clock technique in dual supply systems. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Shunta Iguchi, Akira Saito, Kazunori Watanabe, Takayasu Sakurai, Makoto Takamiya Design Method of Class-F Power Amplifier With Output Power of -20 dBm and Efficient Dual Supply Voltage Transmitter. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Ta-Kai Lin, Kuen-Wey Lin, Chang-Hao Chiu, Rung-Bin Lin Logic block and design methodology for via-configurable structured ASIC using dual supply voltages. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Chao Wang 0016, Jun Zhou 0017, Xin Liu 0015, Muthukumaraswamy Annamalai Arasu, Minkyu Je A sub-threshold to super-threshold Level Conversion Flip Flop for sub/near-threshold dual-supply operation. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Hiroshi Fuketa, Koji Hirairi, Tadashi Yasufuku, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai Minimizing Energy of Integer Unit by Higher Voltage Flip-Flop: VDDmin-Aware Dual Supply Voltage Technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
11Xu Bai, Michitaka Kameyama Low-Power Multiple-Valued Source-Coupled Logic Circuits Using Dual-Supply Voltages for a Reconfigurable VLSI. Search on Bibsonomy ISMVL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
11Timothy N. Miller, Renji Thomas, Radu Teodorescu Mitigating the Effects of Process Variation in Ultra-low Voltage Chip Multiprocessors using Dual Supply Voltages and Half-Speed Units. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Sang-Keun Han, KeeChan Park, Young-Hyun Jun, Bai-Sun Kong High-Speed Low-Power Boosted Level Converters for Dual Supply Systems. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Hoi-Jin Lee, Jong-Woo Kim, Tae Hee Han, Jae-Cheol Son, Jeong-Taek Kong, Bai-Sun Kong Low-power dual-supply clock networks with clock gating and frequency doubling. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Shunta Iguchi, Akira Saito, Kazunori Watanabe, Takayasu Sakurai, Makoto Takamiya 2.1 Times increase of drain efficiency by dual supply voltage scheme in 315MHz class-F Power amplifier at output power of -20dBm. Search on Bibsonomy ESSCIRC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Tadashi Yasufuku, Koji Hirairi, Yu Pu, Yun Fei Zheng, Ryo Takahashi, Masato Sasaki, Hiroshi Fuketa, Atsushi Muramatsu, Masahiro Nomura, Hirofumi Shinohara, Makoto Takamiya, Takayasu Sakurai 24% Power reduction by post-fabrication dual supply voltage control of 64 voltage domains in VDDmin limited ultra low voltage logic circuits. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Hoi-Jin Lee, Youngmin Shin, Jae Cheol Son, Tae Hee Han, Bai-Sun Kong An efficient dual-supply design for low-power mobile systems. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
11Atsushi Muramatsu, Tadashi Yasufuku, Masahiro Nomura, Makoto Takamiya, Hirofumi Shinohara, Takayasu Sakurai 12% Power reduction by within-functional-block fine-grained adaptive dual supply voltage control in logic circuits with 42 voltage domains. Search on Bibsonomy ESSCIRC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
11Chun-Yen Tseng, Li-Wen Wang, Po-Chiun Huang An Integrated Linear Regulator With Fast Output Voltage Transition for Dual-Supply SRAMs in DVFS Systems. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Himanshu Kaul, Mark A. Anders 0001, Sanu Mathew, Steven Hsu, Amit Agarwal 0001, Ram Krishnamurthy 0001, Shekhar Borkar A 300 mV 494GOPS/W Reconfigurable Dual-Supply 4-Way SIMD Vector Processing Accelerator in 45 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Deming Chen, Jason Cong, Chen Dong 0003, Lei He 0001, Fei Li 0003, Chi-Chen Peng Technology Mapping and Clustering for FPGA Architectures With Dual Supply Voltages. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Sang-Keun Han, KeeChan Park, Bai-Sun Kong, Young-Hyun Jun High-speed low-power bootstrapped level converter for dual supply systems. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Peiyi Zhao, Jason McNeely, Pradeep Kumar Golconda, Soujanya Venigalla, Nan Wang, Magdy A. Bayoumi, Weidong Kuang, Luke Downey Low-Power Clocked-Pseudo-NMOS Flip-Flop for Level Conversion in Dual Supply Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jose Carlos Garcia-Montesdeoca, Juan A. Montiel-Nelson, Saeid Nooshabadi High Performance Bootstrapped CMOS Dual Supply Level Shifter for 0.5V Input and 1V Output. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Himanshu Kaul, Mark A. Anders 0001, Sanu Mathew, Steven Hsu, Amit Agarwal 0001, Ram Krishnamurthy 0001, Shekhar Borkar A 300mV 494GOPS/W reconfigurable dual-supply 4-Way SIMD vector processing accelerator in 45nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Joo-Seong Kim, Bai-Sun Kong Self-Resetting Level-Conversion Flip-Flops with Direct Output Feedback for Dual-Supply SoCs. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jente B. Kuang, Abraham Mathews, John Barth 0001, Fadi H. Gebara, Tuyet Nguyen, Jeremy D. Schaub, Kevin J. Nowka, Gary D. Carpenter, Don Plass, Erik Nelson, Ivan Vo, William R. Reohr, Toshiaki Kirihata An on-chip dual supply charge pump system for 45nm PD SOI eDRAM. Search on Bibsonomy ESSCIRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Fatemeh Aezinia, Ali Afzali-Kusha Low power high performance level converter for dual supply voltage systems. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Brian Campbell 0004, James Burnette, Naveen Javarappa, Vincent von Kaenel Power-Efficient Dual-Supply 64kB L1 Caches in a 65nm CMOS Technology. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jürgen Pille, Chad Adams, Todd Christensen, Scott R. Cottier, Sebastian Ehrenreich, Fumihiro Kono, Daniel Nelson, Osamu Takahashi, Shunsako Tokito, Otto A. Torreiter, Otto Wagner, Dieter F. Wendel Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Masanori Hariyama, Shigeo Yamadera, Michitaka Kameyama Minimizing Energy Consumption Based on Dual-Supply-Voltage Assignment and Interconnection Simplification. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Masaaki Iijima, Kenji Hamada, Masayuki Kitamura, Masahiro Numa, Akira Tada, Takashi Ipposhi Dynamic threshold voltage control for dual supply voltage scheme on PD-SOI. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11K. Sadeghi, M. Emadi, Farzan Farbiz Using Level Restoring Method for Dual Supply Voltage. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sanu K. Mathew, Mark A. Anders 0001, Brad Bloechel, Trang Nguyen, Ram K. Krishnamurthy, Shekhar Borkar A 4-GHz 300-mW 64-bit integer execution ALU with dual supply voltages in 90-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Pseudo Dual Supply Voltage Domino Logic Design. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yasuhisa Shimazaki, Radu Zlatanovici, Borivoje Nikolic A shared-well dual-supply-voltage 64-bit ALU. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Fujio Ishihara, Farhana Sheikh, Borivoje Nikolic Level conversion for dual-supply systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Torsten Mahnke, Sebastian Panenka, Martin Embacher, Walter Stechele, Wolfgang Hoeld Efficiency of dual supply voltage logic synthesis for low power in consideration of varying delay constraint strictness. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Torsten Mahnke, Walter Stechele, Martin Embacher, Wolfgang Hoeld Impact of technology evolution on dual supply voltage scaling and gate resizing in power-driven logic synthesis. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Thomas Olsson 0001, Pontus Åström, Peter Nilsson 0001 Dual supply-voltage scaling for reconfigurable SoC's. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Kimiyoshi Usami, Mutsunori Igarashi Low-power design methodology and applications utilizing dual supply voltages. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Chingwei Yeh, Yin-Shuin Kang A simulated annealing based method supporting dual supply voltages in standard cell placement. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Ching-Wei Yeh, Min-Cheng Chang, Shih-Chieh Chang, Wen-Ben Jone Gate-Level Design Exploiting Dual Supply Voltages for Power-Driven Applications. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 105 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license