The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fanouts with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-2001 (17) 2002-2005 (15) 2006-2022 (11)
Publication types (Num. hits)
article(14) inproceedings(29)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 60 occurrences of 49 keywords

Results
Found 43 publication records. Showing 43 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
52Ming-Syan Chen, Kun-Lung Wu, Philip S. Yu Optimizing Index Allocation for Sequential Data Broadcasting in Wireless Mobile Computing. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Wireless mobile computing, sequential broadcasting, indexing, energy saving
52Yong Chang Kim, Kewal K. Saluja, Vishwani D. Agrawal Combinational Test Generation for Acyclic SequentialCircuits using a Balanced ATPG Model. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
50Wen Ching Wu, Chung-Len Lee 0001, Jwu E. Chen Identification of robust untestable path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF robust untestable path delay faults, path reconvergence of fanouts, ISCAS 85' circuits, total path delay faults, six-valued logic, propagation graph, ROUNTEST program, fault diagnosis, logic testing, delays, partitioning, ATPG, combinational circuits, combinational circuits, automatic testing, logic CAD, multivalued logic, logic partitioning, signal flow graphs, fault identification
42V. I. Kopp, J. Park, J. Singer, Dan Neugroschl, Takahiro Suganuma, Takemi Hasegawa, Takafumi Ohtsuka, Hidehisa Tazawa Ultra-Low-Loss MCF Fanouts for Submarine SDM Applications. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
42Amir Moradi 0001, Mahmoud Salmasizadeh, Mohammad Taghi Manzuri Shalmani On the Importance of the Number of Fanouts to Prevent the Glitches in DPA-Resistant Devices. Search on Bibsonomy CSICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Taiga Takata, Yusuke Matsunaga A heuristic algorithm for LUT-based FPGA technology mapping using the lower bound for DAG covering problem (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, technology mapping
34Rob A. Rutenbar, Li-C. Wang, Kwang-Ting Cheng, Sandip Kundu Static statistical timing analysis for latch-based pipeline designs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Susanta Chakraborty, Debesh Kumar Das, Bhargab B. Bhattacharya Logical redundancies in irredundant combinational circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF irredundancy, testing, Boolean functions, combinational circuits, stuck-at faults, fanouts
17Xiao-dong Sun, Hong-Bin Zhang A Fast Hole-filling Strategy of 3D Scanned Human Body. Search on Bibsonomy CGIV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman Parallel fault backtracing for calculation of fault coverage. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ratnakar Goyal, Harindranath Parameswaran, Sachin Shrivastava Computation of Waveform Sensitivity Using Geometric Transforms for SSTA. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Timing Library, Accuracy, SSTA
17Dong Xiang, Kaiwei Li, Jiaguang Sun, Hideo Fujiwara Reconfigured Scan Forest for Test Application Cost, Test Data Volume, and Test Power Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scan forest, test application cost, test data volume, test power
17Haikun Zhu, Yi Zhu 0002, Chung-Kuan Cheng, David M. Harris An Interconnect-Centric Approach to Cyclic Shifter Design Using Fanout Splitting and Cell Order Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 64 bit, interconnect-centric approach, fanout splitting, cell order optimization, logarithmic cyclic shifter design, demultiplexers, shifting path, nonshifting paths, accumulated wire load, switching probabilities, integer linear programming
17Sheng Sun, Carl Sechen Post-layout comparison of high performance 64b static adders in energy-delay space. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Chi-Shong Wang, Chingwei Yeh Performance-driven technology mapping with MSG partition and selective gate duplication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate duplication, maximal super-gate, super-gate, dynamic programming, partition, matching, logic synthesis, directed acyclic graph, Technology mapping, covering
17Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang Voltage island aware floorplanning for power and timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Zhenghao Zhang, Yuanyuan Yang 0001 Performance analysis of k-fold multicast networks. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Kaiwei Li, Hideo Fujiwara Design for Cost Effective Scan Testing by Reconfiguring Scan Flip-Flops. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Fei Hu, Vishwani D. Agrawal Enhanced Dual-Transition Probabilistic Power Estimation with Selective Supergate Analysis. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Satish Verma, Wei Tsang Ooi Controlling Gossip Protocol Infection Pattern Using Adaptive Fanout. Search on Bibsonomy ICDCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer Ring generators - new devices for embedded test applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Deming Chen, Jason Cong, Milos D. Ercegovac, Zhijun Huang Performance-driven mapping for CPLD architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Makram M. Mansour, Mohammad M. Mansour, Amit Mehrotra Modified Sakurai-Newton Current Model and its Applications to CMOS Digital Circuit Design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Shan Gu, Hideo Fujiwara Non-Scan Design for Testability for Mixed RTL Circuits with Both Data Paths and Controller via Conflict Analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Hideo Fujiwara Handling the pin overhead problem of DFTs for high-quality and at-speed tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Shi-Yu Huang Diagnosis Of Byzantine Open-Segment Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Alberto Medina, Nina Taft, Kavé Salamatian, Supratik Bhattacharyya, Christophe Diot Traffic matrix estimation: existing techniques and new directions. Search on Bibsonomy SIGCOMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF statistical inference, traffic matrix estimation
17Mohammad M. Mansour, Naresh R. Shanbhag Simplified current and delay models for deep submicron CMOS digital circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Aditya D. Sathe, Michael L. Bushnell, Vishwani D. Agrawal Analog Macromodeling of Capacitive Coupling Faults in Digital Circuit Interconnects. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Deming Chen, Jason Cong, Milos D. Ercegovac, Zhijun Huang Performance-driven mapping for CPLD architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF PLA-style logic cells, FPGA, technology mapping, CPLD, delay optimization
17Paul Kartschoke, Shervin Hojat Techniques that Improved the Timing Convergence of the Gekko PowerPC Microprocessor. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Shervin Hojat, Paul Kartschoke Techniques for Improving Timing Convergence of Advanced Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Tim Menzies, Steve M. Easterbrook, Bashar Nuseibeh, Sam Waugh An Empirical Investigation of Multiple Viewpoint Reasoning in Requirements Engineering. Search on Bibsonomy RE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Harm Arts, Michel R. C. M. Berkelaar, Koen van Eijk Computing observability don't cares efficiently through polarization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Chau-Shen Chen, TingTing Hwang Layout Driven Selection and Chaining of Partial Scan Flip-Flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design for testability, matching, placement, global routing, partial scan, digital testing, layout optimization
17Tim Menzies, Sam Waugh On the Practicality of Viewpoint-Based Requirements Engineering. Search on Bibsonomy PRICAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Supratik Chakraborty, David L. Dill More Accurate Polynomial-Time Min-Max Timing Simulation. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Uncertain component delays, min-max timing simulation, thirteen-valued signal algebra, polynomial-time algorithm
17Harm Arts, Michel R. C. M. Berkelaar, C. A. J. van Eijk Polarized observability don't cares. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Tapan J. Chakraborty, Vishwani D. Agrawal Design for high-speed testability of stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay
17Amitava Majumdar 0001, Sarma B. K. Vrudhula Analysis of signal probability in logic circuits using stochastic models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Patrick Kam Lui, Jon C. Muzio Constrained parity testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF compaction testing, parity testing, Built-in self-test, signature analysis
17Yuzo Takamatsu, Kozo Kinoshita Extended selection of switching target faults in CONT algorithm for test generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fault target switching, fault simulation, D-algorithm
Displaying result #1 - #43 of 43 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license