The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gated-clock (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2000 (18) 2001-2008 (24) 2009-2017 (13)
Publication types (Num. hits)
article(18) inproceedings(37)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 38 occurrences of 29 keywords

Results
Found 55 publication records. Showing 55 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
124Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity and register placement aware gated clock network design. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gated clock tree, low power, placement
116Chia-Ming Chang 0002, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang 0002, Yu-Sheng Lu Type-matching clock tree for zero skew clock gating. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, gated clock, clock network synthesis
96Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Gate planning during placement for gated clock network. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
90Jaewon Oh, Massoud Pedram Gated Clock Routing Minimizing the Switched Capacitance. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF gated clock routing, low power
78Jaewon Oh, Massoud Pedram Gated clock routing for low-power microprocessor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
73Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
68Wei-Chung Chao, Wai-Kei Mak Low-power gated and buffered clock network construction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, buffer, clock gating, Clock tree, zero-skew
65Gaetano Palumbo, Francesco Pappalardo 0002, S. Sannella Evaluation on power reduction applying gated clock approaches. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
65Luca Benini, Giovanni De Micheli Automatic synthesis of low-power gated-clock finite-state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
63Nithya Raghavan, Venkatesh Akella, Smita Bakshi Automatic Insertion of Gated Clocks at Register Transfer Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Christian Piguet, Thierry Schneider, Jean-Marc Masgonty, Claude Arm, Serge Durand, M. Stegers Low-Power Embedded Microprocessor Design. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low-power embedded microprocessor design, low-power RISC-like architectures, gated clock techniques, power savings, microprocessor chips, CMOS technology, hierarchical memories, clock cycles
48Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel A Gated Clock Scheme for Low Power Testing of Logic Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test-per-scan, test-per-clock, low power design, low power test
47Sanghyeon Baeg Delay Fault Coverage Enhancement by Partial Clocking for Low-Power Designs With Heavily Gated Clocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Gated Clock Scheme for Low Power Scan-Based BIST. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Wei-Sheng Huang, Tay-Jyi Lin, Shih-Hao Ou, Chih-Wei Liu, Chein-Wei Jen Pipelining technique for energy-aware datapaths. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Christos A. Papachristou, Mehrdad Nourani, Mark Spining A multiple clocking scheme for low-power RTL design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Salvador Manich, A. Gabarró, M. Lopez, Joan Figueras, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, João Paulo Teixeira 0001, Marcelino B. Santos Low Power BIST by Filtering Non-Detecting Vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power BIST, low energy consumption, LFSR, gated clock
31Luca Benini, Giovanni De Micheli Synthesis of low-power selectively-clocked systems from high-level specification. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power, high-level synthesis, gated clock
31Sumit Roy 0003, Prithviraj Banerjee, Majid Sarrafzadeh Partitioning sequential circuits for low power. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low-power, gated-clock, sequential synthesis
31Gustavo E. Téllez, Amir H. Farrahi, Majid Sarrafzadeh Activity-driven clock design for low power circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Gated Clock Tree, Sleep Mode, Power minimization, Clock Tree
30Weicheng Liu, Emre Salman, Can Sitik, Baris Taskin Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Ashutosh Chakraborty, Gokul Ganesan, Anand Rajaram, David Z. Pan Analysis and optimization of NBTI induced clock skew in gated clock trees. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Alak Majumder Gated Clock Tree Circuit to Reduce the Noise in Silicon Chip. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Fan Yang, Minghao Lin, Heming Sun, Shinji Kimura Time-efficient and TSV-aware 3D gated clock tree synthesis based on self-tuning spectral clustering. Search on Bibsonomy MWSCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Bipasha Nath, Alak Majumder Binary Counter Based Gated Clock Tree for Integrated CPU Chip. Search on Bibsonomy iNIS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Minghao Lin, Heming Sun, Shinji Kimura Power-efficient and slew-aware three dimensional gated clock tree synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Pritam Bhattacharjee, Alak Majumder LECTOR Based Gated Clock Approach to Design Low Power FSM for Serial Adder. Search on Bibsonomy iNIS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Yu-Chuan Chen, Chih-Cheng Hsu, Mark Po-Hung Lin Low-power gated clock tree optimization for three-dimensional integrated circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Ashutosh Chakraborty, David Z. Pan Skew Management of NBTI Impacted Gated Clock Trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Jingwei Lu, Wing-Kai Chow, Chiu-Wing Sham Fast Power- and Slew-Aware Gated Clock Tree Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Ashutosh Chakraborty, David Z. Pan Skew management of NBTI impacted gated clock trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock skew, clock gating, NBTI
21Shih-Hsu Huang, Chun-Hua Cheng, Song-Bin Pan Synthesis of Anti-Aging Gated Clock Designs. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2009 DBLP  BibTeX  RDF
21Raymond E. Barnett, Jin Liu 0004 An EEPROM Programming Controller for Passive UHF RFID Transponders With Gated Clock Regulation Loop and Current Surge Control. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Low Power Gated Clock Tree Driven Placement. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Walter Aloisi, Rosario Mita Gated-Clock Design of Linear-Feedback Shift Registers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Raymond E. Barnett, Jin Liu 0004 An EEPROM Programming Controller for Passive UHF RFID Transponders with Gated Clock Regulation Loop and Current Surge Control. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Bengt Oelmann, Mattias O'Nils Asynchronous control of low-power gated-clock finite-state machines. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Jaewon Oh, Massoud Pedram Power Reduction in Microprocessor Chips by Gated Clock Routing. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Michele Favalli, Luca Benini, Giovanni De Micheli Design for Testability of Gated-Clock FSMs. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Luca Benini, Giovanni De Micheli Transformation and synthesis of FSMs for low-power gated-clock implementation. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Masamichi Kawarabayashi, Narendra V. Shenoy, Alberto L. Sangiovanni-Vincentelli A Verification Technique for Gated Clock. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Ke Xu 0014, Chiu-sing Choy A Five-Stage Pipeline, 204 Cycles/MB, Single-Port SRAM-Based Deblocking Filter for H.264/AVC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Kevin Camera, Robert W. Brodersen An integrated debugging environment for FPGA computing platforms. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, FPGA, design, verification
19Weixiang Shen, Yici Cai, Xianlong Hong Leakage power optimization for clock network using dual-Vth technology. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Masatoshi Kameyama, Yoshiyuki Kato, Hitoshi Fujimoto, Hiroyasu Negishi, Yukio Kodama, Yoshitsugu Inoue, Hiroyuki Kawai 3D graphics LSI core for mobile phone "Z3D". Search on Bibsonomy Graphics Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF graphics accelerator, graphics hardware, rendering hardware
19Prasoon Surti, Liang-Fang Chao, Akhilesh Tyagi Low power FSM design using Huffman-style encoding. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Huai-Yi Hsu, Jih-Chiang Yeo, An-Yeu Wu Multi-Symbol-Sliced Dynamically Reconfigurable Reed-Solomon Decoder Design Based on Unified Finite-Field Processing Element. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Philippe Grosse, Yves Durand, Paul Feautrier Power Modeling of a NoC Based Design for High Speed Telecommunication Systems. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yu-Han Chen, Tung-Chien Chen, Liang-Gee Chen Power-Scalable Algorithm and Reconfigurable Macro-Block Pipelining Architecture of H.264 Encoder for Mobile Application. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yuichi Nakamura 0002, Takeshi Yoshimura A fast chip-scale power estimation method for large and complex LSIs based on hierarchical analysis. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ki-Seok Chung, Taewhan Kim, Chien-Liang Liu Behavioral-level partitioning for low power design in control-dominated application. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Frank P. Higgins, Rajagopalan Srinivasan BSM2: Next Generation Boundary-Scan Master. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #55 of 55 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license