|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2576 occurrences of 1555 keywords
|
|
|
Results
Found 5031 publication records. Showing 5031 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
76 | Kiyoshi Matsumiya, Yasuyuki Momoi, Etsuko Kobayashi, Nobuhiko Sugano, Kazuo Yonenobu, Hiroshi Inada, Takayuki Tsuji, Ichiro Sakuma |
Analysis of Forces during Robotic Needle Insertion to Human Vertebra. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2003, 6th International Conference, Montréal, Canada, November 15-18, 2003, Proceedings, Part I, pp. 271-278, 2003, Springer, 3-540-20462-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
percutaneous vertebroplasty, forces and torques, CT-value, robot, needle insertion |
70 | Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han |
Novel full-chip gridless routing considering double-via insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 755-760, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
redundant via insertion, routing, manufacturability |
63 | Jian Zhang 0074, Samrat Bhattacharyya, Nabil Simaan |
Model and parameter identification of friction during robotic insertion of cochlear-implant electrode arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2009 IEEE International Conference on Robotics and Automation, ICRA 2009, Kobe, Japan, May 12-17, 2009, pp. 3859-3864, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
59 | Artiom Matveevici, Yurii Rogozhin, Sergey Verlan |
Insertion-Deletion Systems with One-Sided Contexts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCU ![In: Machines, Computations, and Universality, 5th International Conference, MCU 2007, Orléans, France, September 10-13, 2007, Proceedings, pp. 205-217, 2007, Springer, 978-3-540-74592-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
insertion-deletion systems, computational non-completeness, universality |
58 | Phillip J. Barry, Ronald N. Goldman |
Chapter 4: Knot Insertion Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 89-133, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
58 | Phillip J. Barry, Ronald N. Goldman |
Chapter 3: Factored Knot Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 65-88, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
58 | Wen-Chin Chen, Jeffrey Scott Vitter |
Analysis of New Variants of Coalesced Hashing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 9(4), pp. 616-645, 1984. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
53 | Ying-Yu Chen, Chen Dong 0003, Deming Chen |
Clock tree synthesis under aggressive buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 86-89, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
slew, buffer insertion, buffer sizing, clock tree, maze routing |
53 | Alexander Krassovitskiy, Yurii Rogozhin, Sergey Verlan |
Further Results on Insertion-Deletion Systems with One-Sided Contexts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATA ![In: Language and Automata Theory and Applications, Second International Conference, LATA 2008, Tarragona, Spain, March 13-19, 2008. Revised Papers, pp. 333-344, 2008, Springer, 978-3-540-88281-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Insertion-deletion systems, computational non-completeness, universality |
53 | Ali Jahanian 0001, Morteza Saheb Zamani |
Multi-Level Buffer Block Planning and Buffer Insertion for Large Design Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 411-415, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Buffer planning, incremental placement, buffer insertion |
53 | Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi |
Path based buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 509-514, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
layout, physical design, global routing, buffer insertion, power minimization, interconnect synthesis |
53 | Tilmann F. W. Bruckhaus |
TIM: a tool insertion method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1994 Conference of the Centre for Advanced Studies on Collaborative Research, October 31 - November 3, 1994, Toronto, Ontario, Canada, pp. 7, 1994, IBM. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
CASE adoption, TIM, cost control, process management tools, requirements planning process, tool insertion, process modeling, process improvement, process metrics, process measurements, risk reduction, process engineering |
51 | Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han |
Full-Chip Routing Considering Double-Via Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5), pp. 844-857, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
47 | Christian Artigues, Cyril Briand |
The resource-constrained activity insertion problem with minimum and maximum time lags. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 12(5), pp. 447-460, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Minimum and maximum time lags, Activity insertion problem, Complexity, Resource-constrained project scheduling |
47 | Yifang Liu, Jiang Hu, Weiping Shi |
Multi-scenario buffer insertion in multi-core processor designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 15-22, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multi-core design, buffer insertion |
47 | Huiying Liu, Shuqiang Jiang, Qingming Huang, Changsheng Xu |
A generic virtual content insertion system based on visual attention analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 16th International Conference on Multimedia 2008, Vancouver, British Columbia, Canada, October 26-31, 2008, pp. 379-388, 2008, ACM, 978-1-60558-303-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
visual attention, virtual content insertion |
47 | Nikolai Ryzhenko, Oleg Venger |
A practical repeater insertion flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 261-266, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
physical design, buffer insertion, fanout optimization, topology generation |
47 | Xinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong |
Legitimate Skew Clock Routing with Buffer Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 42(2), pp. 107-116, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
legitimate skew, buffer insertion, clock routing |
47 | Jinjun Xiong, Lei He 0001 |
Fast buffer insertion considering process variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 128-135, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
pruning rule, dynamic programming, process variation, transitive closure, buffer insertion |
47 | Andrew Lim 0001, Wenbin Zhu |
A Fast and Effective Insertion Algorithm for Multi-depot Vehicle Routing Problem with Fixed Distribution of Vehicles and a New Simulated Annealing Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE ![In: Advances in Applied Artificial Intelligence, 19th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, IEA/AIE 2006, Annecy, France, June 27-30, 2006, Proceedings, pp. 282-291, 2006, Springer, 3-540-35453-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
MDVRP, MDVRPFD, insertion algorithm, sub-route optimization, meta-heuristic |
47 | Baris Taskin, Ivan S. Kourtev |
Delay insertion method in clock skew scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 47-54, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
delay insertion, re-convergent paths, optimization, linear programming, clock skew |
47 | Akihiro Takahara, Takashi Yokomori |
On the computational power of insertion-deletion systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Nat. Comput. ![In: Nat. Comput. 2(4), pp. 321-336, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
insertion-deletion systems, DNA computing, computational powers |
47 | Xiang-Yang Li 0001, Yu Wang 0003 |
How Good Is Sink Insertion? ![Search on Bibsonomy](Pics/bibsonomy.png) |
COCOON ![In: Computing and Combinatorics, 7th Annual International Conference, COCOON 2001, Guilin, China, August 20-23, 2001, Proceedings, pp. 181-190, 2001, Springer, 3-540-42494-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
sink insertion, algorithms, computational geometry, Delaunay triangulations, Mesh generation |
47 | Gérard Cécé, Alain Finkel, S. Purushothaman Iyer |
Duplication, Insertion and Lossiness Errors in Unreliable Communication Channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the Second ACM SIGSOFT Symposium on Foundations of Software Engineering, SIGSOFT 1994, New Orleans, Louisiana, USA, December 6-9, 1994, pp. 35-43, 1994, ACM, 978-0-89791-691-2. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
insertion and lossiness errors, model-checking against CTL, verification problems, finite state machines, decidability, duplication, communication channels |
47 | Michael J. Banks, Elaine Cohen, Timothy I. Mueller |
Chapter 7: An Envelope Approach to a Sketching Editor for Hierarchical Free-form Curve Design and Modification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 179-193, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Phillip J. Barry, Ronald N. Goldman |
Chapter 2: Algorithms for Progressive Curves: Extending B-Spline and Blossoming Techniques to the Monomial, Power, and Newton Dual Bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 11-63, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Tom Lyche, Knut Mørken, Kyrre Strøm |
Chapter 5: Conversion Between B-Spline Bases Using the Generalized Oslo Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 135-153, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Tom Lyche, Knut Mørken |
Chapter 6: How Much Can the Size of the B-Spline Coefficients Be Reduced by Inserting One Knot? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 155-178, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Phillip J. Barry |
Chapter 1: An Introduction to Blossoming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces ![In: Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces, pp. 1-10, 1992, SIAM, 978-0-89871-306-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
46 | Lonnie J. Love, John F. Jansen, Peter D. Lloyd |
Force-based needle insertion for medical applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 2592-2597, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
46 | Yuantao Peng, Xun Liu |
An Efficient Low-Power Repeater-Insertion Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12), pp. 2726-2736, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Zhuo Li 0001, Weiping Shi |
An O(bn2) time algorithm for optimal buffer insertion with b buffer types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(3), pp. 484-489, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Zhe-Wei Jiang, Yao-Wen Chang |
An optimal simultaneous diode/jumper insertion algorithm for antenna fixing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 669-674, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Shinya Onogi, K. Morimoto, Ichiro Sakuma, Yoshikazu Nakajima, Tsuyoshi Koyama, Nobuhiko Sugano, Yuichi Tamura, S. Yonenobu, Yasuyuki Momoi |
Development of the Needle Insertion Robot for Percutaneous Vertebroplasty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (2) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2005, 8th International Conference, Palm Springs, CA, USA, October 26-29, 2005, Proceedings, Part II, pp. 105-113, 2005, Springer, 3-540-29326-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Srividya Srinivasaraghavan, Wayne P. Burleson |
Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), New Trends and Technologies for VLSI Systems Design, 20-21 February 2003, Tampa, FL, USA, pp. 55-61, 2003, IEEE Computer Society, 0-7695-1904-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
44 | Nur A. Touba, Edward J. McCluskey |
Test point insertion based on path tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 2-8, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
probabilistic techniques, primary inputs, insertion methods, VLSI, VLSI, fault diagnosis, logic testing, logic testing, probability, built-in self test, timing, integrated circuit testing, BIST, automatic testing, fault coverage, test point insertion, path tracing, circuit-under-test |
44 | Joan Carletta, Christos A. Papachristou |
Testability analysis and insertion for RTL circuits based on pseudorandom BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 162-167, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
pseudorandom BIST, indirect feedback, preprocessing transformation, word-level correlation, modeling, logic testing, probability, built-in self test, built-in self-test, integrated circuit testing, Markov processes, automatic testing, Markov model, insertion, testability analysis, test point insertion, iterative technique, RTL circuits, register transfer level circuits |
42 | Yuejian Xie, Gabriel H. Loh |
PIPP: promotion/insertion pseudo-partitioning of multi-core shared caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 174-183, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cache, multi-core, sharing, contention, insertion, promotion |
42 | Shiyan Hu, Zhuo Li 0001, Charles J. Alpert |
A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 424-429, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dynamic programming, NP-complete, buffer insertion, fully polynomial time approximation scheme, cost minimization |
42 | Liang Deng, Martin D. F. Wong, Kai-Yuan Chao, Hua Xiang 0001 |
Coupling-aware Dummy Metal Insertion for Lithography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 13-18, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
coupling-aware dummy metal insertion, integrated circuits manufacturing technology, resolution enhancement techniques, off-axis illumination, forbidden pitches, printability improvement, invisible dummy metal segments, lithography cost minimization, optimal algorithm, chemical mechanical polish |
42 | Yanming Jia, Yici Cai, Xianlong Hong |
Dummy fill aware buffer insertion during routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 31-36, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
routing, VLSI, DFM, buffer insertion, dummy fill |
42 | Hamid Reza Kheirabadi, Morteza Saheb Zamani |
An efficient net ordering algorithm for buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 521-524, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
buffer usage, net ordering, buffer insertion |
42 | Bor-Yiing Su, Yao-Wen Chang, Jiang Hu |
An optimal jumper insertion algorithm for antenna avoidance/fixing on general routing trees with obstacles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 56-63, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
jumper insertion, antenna effect |
42 | Mandar Waghmode, Zhuo Li 0001, Weiping Shi |
Buffer insertion in large circuits with constructive solution search techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 296-301, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
physical design, buffer insertion, cost optimization, interconnect synthesis |
42 | Yuantao Peng, Xun Liu |
Low-power repeater insertion with both delay and slew rate constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 302-307, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion, slew rate |
42 | Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif |
An efficient surface-based low-power buffer insertion algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 86-93, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low-power design, buffer insertion, physical synthesis |
42 | Yu Ching Chang, King Ho Tam, Lei He 0001 |
Power-optimal repeater insertion considering Vdd and Vth as design freedoms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 137-142, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low power, buffer insertion |
42 | Bor-Yiing Su, Yao-Wen Chang |
An exact jumper insertion algorithm for antenna effect avoidance/fixing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 325-328, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
jumper insertion, antenna effect |
42 | Yuantao Peng, Xun Liu |
Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 813-818, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion |
42 | Charles J. Alpert, Milos Hrkic, Stephen T. Quay |
A fast algorithm for identifying good buffer insertion candidate locations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 47-52, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
planning, global routing, buffer insertion, physical synthesis |
42 | Xun Liu, Yuantao Peng, Marios C. Papaefthymiou |
Practical repeater insertion for low power: what repeater library do we need? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 30-35, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion |
42 | Dinesh Pamunuwa, Hannu Tenhunen |
On Dynamic Delay and Repeater Insertion in Distributed Capacitively Coupled Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 240-245, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Cross-talk, Delay minimisation, Static timing, Repeater insertion, Deep sub-micron |
42 | Tianxiong Xue, Ernest S. Kuh |
Post routing performance optimization via multi-link insertion and non-uniform wiresizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 575-580, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
post routing performance optimization, link insertion and wiresizing, delay skew, routing area, delay |
40 | Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao |
Spare-cell-aware multilevel analytical placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 430-435, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
spare cells, placement, physical design |
40 | Yo Kobayashi, Akinori Onishi, Hiroki Watanabe, Takeharu Hoshi, Kazuya Kawamura, Masakatsu G. Fujie |
Developing a planning method for straight needle insertion using probability-based condition where a puncture occurs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2009 IEEE International Conference on Robotics and Automation, ICRA 2009, Kobe, Japan, May 12-17, 2009, pp. 3482-3489, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Yo Kobayashi, Makiko Suzuki, Atsushi Kato, Kozo Konishi, Makoto Hashizume, Masakatsu G. Fujie |
A robotic palpation-based needle insertion method for diagnostic biopsy and treatment of breast cancer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 5534-5539, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Riku Saikkonen, Eljas Soisalon-Soininen |
Bulk-Insertion Sort: Towards Composite Measures of Presortedness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEA ![In: Experimental Algorithms, 8th International Symposium, SEA 2009, Dortmund, Germany, June 4-6, 2009. Proceedings, pp. 269-280, 2009, Springer, 978-3-642-02010-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Kuang-Yao Lee, Shing-Tung Lin, Ting-Chi Wang |
Redundant via insertion with wire bending. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 123-130, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
redundant via, wire bending, integer linear program |
40 | Harmanpreet Bassan, T. Hayes, Rajnikant V. Patel, Mehrdad Moallem |
A Novel Manipulator for 3D Ultrasound Guided Percutaneous Needle Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 617-622, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Jagadeesan Jayender, Mahdi Azizian, Rajni V. Patel |
Autonomous robot-assisted active catheter insertion using image guidance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, USA, pp. 889-894, 2007, IEEE, 978-1-4244-0912-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr., Joel S. Emer |
Adaptive insertion policies for high performance caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 381-391, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
set dueling, set sampling, thrashing, replacement |
40 | Michael A. Bender, Martin Farach-Colton, Miguel A. Mosteiro |
Insertion Sort is O(n log n). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theory Comput. Syst. ![In: Theory Comput. Syst. 39(3), pp. 391-397, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Kuang-Yao Lee, Ting-Chi Wang, Kai-Yuan Chao |
Post-routing redundant via insertion and line end extension with via density consideration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 633-640, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Christophe Doignon, Florent Nageotte, Michel de Mathelin |
The Role of Insertion Points in the Detection and Positioning of Instruments in Laparoscopy for Robotic Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2006, 9th International Conference, Copenhagen, Denmark, October 1-6, 2006, Proceedings, Part I, pp. 527-534, 2006, Springer, 3-540-44707-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Anand Rajaram, David Z. Pan |
Fast Incremental Link Insertion in Clock Networks for Skew Variability Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 79-84, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Zhuo Li 0001, Weiping Shi |
An O(bn2) Time Algorithm for Optimal Buffer Insertion with b Buffer Types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 1324-1329, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong |
Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 78-85, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP) |
40 | Li-Da Huang, Xiaoping Tang, Hua Xiang 0001, Martin D. F. Wong, I-Min Liu |
A polynomial time-optimal diode insertion/routing algorithm for fixing antenna problem [IC layout]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(1), pp. 141-147, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Masami Ito, Ryo Sugiura |
n-Insertion on Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Aspects of Molecular Computing ![In: Aspects of Molecular Computing, Essays Dedicated to Tom Head on the Occasion of His 70th Birthday, pp. 213-218, 2004, Springer, 3-540-20781-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Li-Da Huang, Xiaoping Tang, Hua Xiang 0001, D. F. Wong 0001, I-Min Liu |
A Polynomial Time Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 470-475, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
38 | Joaquim Gabarró, Xavier Messeguer |
A Unified Approach to Concurrent and Parallel Algorithms on Balanced Data Structures (Invited Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCCC ![In: Proceedings of 17th International Conference of the Chilean Computer Science Society (SCCC '97), November 12-14, 1997, Valpariso, Chile, pp. 78-92, 1997, IEEE Computer Society, 0-8186-8052-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
unified approach, balanced data structures, local rules, insertion algorithms, parallel insertion algorithms, percolation phase, rebalancing phase, parallel algorithms, parallel algorithms, dictionaries, sequential algorithm, AVL trees, concurrent algorithms |
36 | Ali Jahanian 0001, Morteza Saheb Zamani |
Improved timing closure by early buffer planning in floor-placement design flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 558-563, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
buffer planning, design convergence, buffer insertion |
36 | Tilmann F. W. Bruckhaus |
Analyzing CASE impact. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1995 Conference of the Centre for Advanced Studies on Collaborative Research, November 7-9, 1995, Toronto, Ontario, Canada, pp. 10, 1995, IBM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
CASE adoption, CASE impact, TIM, TIM/Impact, quantitative process modeling, quantitative tool insertion impact analysis, software quality and productivity, case study |
36 | Aria Shahingohar, Roy Eagleson |
A framework for GPU accelerated needle insertion simulation using meshfree methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Posters ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 2010, Los Angeles, California, USA, July 26-30, 2010, Poster Proceedings, pp. 3:1, 2010, ACM, 978-1-4503-0393-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
meshfree methods, needle insertion simulation, deformable object |
36 | Akiyo Kano, Janet C. Read |
Text input error categorisation: solving character level insertion ambiguities using Zero Time analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BCS HCI ![In: Proceedings of the 2009 British Computer Society Conference on Human-Computer Interaction, BCS-HCI 2009, Cambridge, United Kingdom, 1-5 September 2009, pp. 293-302, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
key log, solving ambiguities, ambiguities, timestamp, insertion, typing errors |
36 | Qing Dong 0002, Bo Yang 0004, Jing Li 0072, Shigetoshi Nakatake |
Incremental buffer insertion and module resizing algorithm using geometric programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 413-416, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
module resizing, floorplan, buffer insertion, geometric programming |
36 | Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, Kai-Yuan Chao |
Optimal post-routing redundant via insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 111-117, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
redundant via insertion, via density, integer linear program |
36 | Marc Moreau, Wendy Osborn |
Revisiting 2DR-tree insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
C3S2E ![In: Canadian Conference on Computer Science & Software Engineering, C3S2E 2008, Montreal, Quebec, Canada, May 12-13, 2008, Proceedings, pp. 129-131, 2008, ACM, 978-1-60558-101-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
performance, insertion, spatial access methods |
36 | Hiroyuki Kataoka, Shigeho Noda, Hideo Yokota, Shu Takagi, Ryutaro Himeno, Shigenobu Okazawa |
Simulations of Needle Insertion by Using a Eulerian Hydrocode FEM and the Experimental Validations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (2) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2008, 11th International Conference, New York, NY, USA, September 6-10, 2008, Proceedings, Part II, pp. 560-568, 2008, Springer, 978-3-540-85989-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Eulerian hydrocode, friction, FEM, needle insertion, large deformation |
36 | Lun-Chun Wei, Hung-Ming Chen, Li-Da Huang, Sarah Songjie Xu |
Efficient and optimal post-layout double-cut via insertion by network relaxation and min-cost maximum flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 359-362, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
redundant via insertion, network flow, relaxation |
36 | Zhanyuan Jiang, Weiping Shi |
Circuit-wise buffer insertion and gate sizing algorithm with scalability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 708-713, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
buffer insertion, gate sizing, interconnect synthesis |
36 | Salim Chowdhury, John Lillis |
Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 59-66, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion |
36 | Ja Chun Ku, Yehea I. Ismail |
Thermal-aware methodology for repeater insertion in low-power VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 86-91, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
low-power design, repeater insertion, temperature-aware design |
36 | Jia Wang 0003, Hai Zhou 0001 |
Optimal jumper insertion for antenna avoidance under ratio upper-bound. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 761-766, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
jumper insertion, antenna effect |
36 | Chong Zhao, Yi Zhao, Sujit Dey |
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 190-195, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
circuit hardening, nanometer circuits, robustness calibration, robustness insertion |
36 | Kenneth Fazel, Lun Li, Mitchell A. Thornton, Robert B. Reese, Cherrice Traver |
Performance enhancement in phased logic circuits using automatic slack-matching buffer insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 413-416, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
phased logic, slack matching buffer insertion, asynchronous |
36 | Simon P. DiMaio, Septimiu E. Salcudean |
Simulated Interactive Needle Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems ![In: 10th Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, HAPTICS 2002, Orlando, Florida, USA, March 24-25, 2002, Proceedings, pp. 344-351, 2002, IEEE Computer Society, 0-7695-1489-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Needle insertion model, Needle Force, Percutaneous therapy, Haptics, Finite Element Method, Model simulation |
36 | Phillip J. Barry, Ronald N. Goldman, Charles A. Micchelli |
Knot insertion algorithms for piecewise polynomial spaces determined by connection matrices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Comput. Math. ![In: Adv. Comput. Math. 1(2), pp. 139-171, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
dual functional, connection matrix, polar form, B-spline, differentiation, geometric continuity, knot insertion |
36 | Jyrki Katajainen, Christos Levcopoulos, Ola Petersson |
Local Insertion Sort Revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Optimal Algorithms ![In: Optimal Algorithms, International Symposium, Varna, Bulgaria, May 29 - June 2, 1989, Proceedings, pp. 239-253, 1989, Springer, 3-540-51859-2. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
presortedness, local insertion sort, finger search trees, measures, sorting algorithm, geometric interpretation |
35 | Puneet Gupta 0001, Andrew B. Kahng, Chul-Hong Park |
Detailed Placement for Enhanced Control of Resist and Etch CDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(12), pp. 2144-2157, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Joseph M. Romano, Robert J. Webster III, Allison M. Okamura |
Teleoperation of Steerable Needles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 934-939, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Andrew B. Kahng, Puneet Sharma, Alexander Zelikovsky |
Fill for shallow trench isolation CMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 661-668, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
34 | Yu-Min Kuo, Ya-Ting Chang, Shih-Chieh Chang, Malgorzata Marek-Sadowska |
Spare Cells With Constant Insertion for Engineering Change. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(3), pp. 456-460, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
34 | Cheok-Kei Lei, Po-Yi Chiang, Yu-Min Lee |
Post-routing redundant via insertion with wire spreading capability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 468-473, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
34 | Kaoru Onodera |
New Morphic Characterizations of Languages in Chomsky Hierarchy Using Insertion and Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATA ![In: Language and Automata Theory and Applications, Third International Conference, LATA 2009, Tarragona, Spain, April 2-8, 2009. Proceedings, pp. 648-659, 2009, Springer, 978-3-642-00981-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
34 | Jagadeesan Jayender, Mahdi Azizian, Rajnikant V. Patel |
Autonomous Image-Guided Robot-Assisted Active Catheter Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Robotics ![In: IEEE Trans. Robotics 24(4), pp. 858-871, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Ehsan Dehghan, Septimiu E. Salcudean |
Needle Insertion Study Using Ultrasound-Based 2D Motion Tracking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (2) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2008, 11th International Conference, New York, NY, USA, September 6-10, 2008, Proceedings, Part II, pp. 660-667, 2008, Springer, 978-3-540-85989-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Chin Ngai Sze, Charles J. Alpert, Jiang Hu, Weiping Shi |
Path-Based Buffer Insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(7), pp. 1346-1355, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Ehsan Dehghan, Septimiu E. Salcudean |
Needle Insertion Point and Orientation Optimization in Non-linear Tissue with Application to Brachytherapy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 2267-2272, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Yu-Min Kuo, Ya-Ting Chang, Shih-Chieh Chang, Malgorzata Marek-Sadowska |
Engineering change using spare cells with constant insertion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 544-547, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 5031 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|