The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for manufacture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1970-1981 (16) 1983-1987 (15) 1988-1989 (18) 1990-1993 (22) 1994-1995 (21) 1996-1997 (23) 1998-1999 (29) 2000 (68) 2001 (28) 2002 (20) 2003 (20) 2004 (36) 2005 (40) 2006 (43) 2007 (63) 2008 (102) 2009 (52) 2010 (25) 2011 (19) 2012-2013 (28) 2014-2015 (25) 2016-2018 (30) 2019 (17) 2020 (117) 2021 (734) 2022 (27) 2023 (19) 2024 (2)
Publication types (Num. hits)
article(242) book(1) incollection(7) inproceedings(1395) phdthesis(9) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 488 occurrences of 415 keywords

Results
Found 1659 publication records. Showing 1659 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
142Yufeng Ding, Zhongling Wei, Buyun Sheng Resource Sharing Technology in the Automobile Parts Manufacture Grid. Search on Bibsonomy PROLAMAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF XML, Resource sharing, WSDL, PDM, Manufacture grid
52P. M. Taylor, G. E. Taylor Sensory robotic assembly of apparel at Hull University. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF garment manufacture, shoe machinery, apparel manufacture, Robots, automation, assembly, vision systems
49Zheng Wang, Weiping He, Dinghua Zhang Research and application of visual thinking in design for manufacture. Search on Bibsonomy SMC (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Qin-Zhong Ye, Per-Erik Danielsson Inspection of Printed Circuit Boards by Connectivity Preserving Shrinking. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF PCB manufacture, connectivity preserving shrinking, edge irregularities, printed circuit manufacture, computer vision, computer vision, computerised pattern recognition, computerised pattern recognition, inspection, sensitivity, quality control, quality control, visual inspection, printed circuit boards, pipelined structure
39Jianfang Sun Application of Data Mining for Decision Tree Model of Multi-variety Discrete Production and Manufacture. Search on Bibsonomy IITSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF discrete production and manufacture, C4.5 algorithm, data mining, decision tree
39L. F. Fuller, C. Kraaijenvanger Design and manufacture of a 2K transistor p-well CMOS gate array in a student run factory at RIT. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF educational aids, p-well CMOS gate array, student run factory, microelectronic engineering program, wafer fabrication, logic design, integrated circuit design, integrated circuit design, CMOS logic circuits, logic arrays, teaching tool, integrated circuit manufacture, integrated circuit manufacturing, electronic engineering education
38Junming Hou, Chong Su, Yingying Su, Wanshan Wang Knowledge Management in Collaborative Design for Manufacture. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Ho-jun Shin, Haeng-Kon Kim, Bo-Yeon Shim Development of Business Rule Engine and Builder for Manufacture Process Productivity. Search on Bibsonomy KES (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Yiquan Fan, Qinghua Yan, Junsheng Yang Effect of Different Powder Size on the Pore Properties of Porous Ti-33Al Intermetallics Fabricated by Add Material Manufacture. Search on Bibsonomy AIAM (IEEE) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
35Christopher James Backhouse Fabrication challenges of lab-on-chip: An overview of the challenges that have hindered lab-on-chip development, how this may now be dealt with for prototype development and low-to moderate volume manufacture, and finally how this might be dealt with for future high-volume manufacture. Search on Bibsonomy IEEE SENSORS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
31Shishpal Rawat, Raul Camposano, Andrew B. Kahng, Joseph Sawicki, Mike Gianfagna, Naeem Zafar, Atul Sharan DFM: where's the proof of value? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ROI, DFM, design for manufacture, OPC, RET, yield optimization, design for yield
31Mathew Alexander, K. Sríhari, C. Robert Emerson Cost based surface mount PCB design evaluation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF computer aided process planning, knowledge based framework, Computer aided design, design for manufacture, concurrent engineering
31H. Keith Nishihara, P. A. Crossley Measuring Photolithographic Overlay Accuracy and Critical Dimensions by Correlating Binarized Laplacian of Gaussian Convolutions. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF positioning accuracy measurement, convolution correlation, picture element clusters, resist alignment, photolithographic overlay accuracy, critical dimensions, binarized Laplacian of Gaussian convolutions, IC manufacture, interference fringes, edge topology differences, low-contrast images, bar grating, integrated circuit technology, photolithography, pattern recognition, computer vision, computer vision, computerised pattern recognition, feature matching, Laplace transforms, noise tolerance
31Yuantao Jiang The Relationship between E-commerce and Supply Chain Management: An Executive Perspective. Search on Bibsonomy WKDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Hailong Jiao, Lan Chen Cellwise OPC Based on Reduced Standard Cell Library. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cellwise OPC, reduced standard cell library, design for manufacturability
31Chung-Yong Liu, Yasufumi Kume Skill Transfer from Expert to Novice - Instruction Manuals Made by Means of Groupware. Search on Bibsonomy HCI (9) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Sikyung Kim, Mohammad Mynuddin Gani Mazumder, Se Jin Park A Conformal Mapping Approach for Shoe Last Design. Search on Bibsonomy FBIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Jun Huang, Satyandra K. Gupta, Savinder Dhaliwal, Malay Kumar A geometric algorithm for automated design of multi-piece sacrificial molds. Search on Bibsonomy Symposium on Solid Modeling and Applications The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31David M. Mount, Ruth Silverman Algorithms for covering and packing and applications to CAD/CAM (abstract only): preliminary results. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
28Zhou Lei, Sun Ying, Bu Guo-miao Route Research on Critical Factors of Information Construction in Textile Machinery Manufacture Business-Taking Businesses of Shaanxi Province for Example. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Tokuro Matsuo, Yoshihito Saito Diversification of risk based on divided tasks in large-scale software system manufacture. Search on Bibsonomy DEECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF electronic commerce, auction, e-business, task allocation, diversification
28Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li Design-for-Manufacture for Multi Gate Oxide CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi gate oxide, FPGA, layout, yield, DFM
28Shiji Xiahou, Dongyi Chen, Zhiqi Huang A Wearable Learning and Support System for Manufacture Application. Search on Bibsonomy Edutainment The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Daizhong Su, Jiansheng Li, Yu Xiong, Yongjun Zheng Collaborative Design and Manufacture Supported by Multiple Web/Internet Techniques. Search on Bibsonomy CSCWD (Selected papers) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Yu-Shu Hu, Mohammad Modarres Apply Fuzzy-Logic-Based Functional-Center Hierarchies as Inference Engines for Self-Learning Manufacture Process Diagnoses. Search on Bibsonomy FSKD (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Theodore Lim, Hugo Medellín, Jonathan R. Corney, James M. Ritchie, J. Bruce C. Davies Decomposition of Complex Models for Manufacture. Search on Bibsonomy SMI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28John Ferguson Shifting Methods: Adopting a Design for Manufacture Flow. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Gabriella M. Acaccia, A. Marelli, Rinaldo C. Michelini, A. Zuccotti Automatic Fabric Storing and Feeding in Quality Clothing Manufacture. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fabric warehouse automation, fabric quality assessment, robotic fixtures
28J. M. Gilbert, Ian M. Bell, D. R. Johnson Design, Manufacture and Test - Quality Test Estimation. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Quality, Costs, Capability, Conformability, FMEA
28S. Corbel, A. L. Allanic, P. Schaeffer, J. C. Andre Computer-aided manufacture of three-dimensional objects by laser space-resolved photopolymerization. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF photopolymerization, CAD, CAM, laser
21Denis Ávila Montini, Felipe Rafael Motta Cardoso, Francisco Supino Marcondes, Paulo Marcelo Tasinaffo, Luiz Alberto Vieira Dias, Adilson Marques da Cunha Using GQM Hypothesis Restriction to Infer Bayesian Network Testing. Search on Bibsonomy ITNG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF manufacture cell, Final Inspection (FI), test, Bayesian network, GQM, Software house
21Kun-Ming Yu, Ming-Gong Lee, Chien-Tung Liao, Hung-Jui Lin Design and Implementation of a RFID Based Real-Time Location-Aware System in Clean Room. Search on Bibsonomy ISPA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automated materialhandling system (AMHS), LANDMARC, RFID, infrared, semiconductor manufacture
21Feruza Sattarova Yusufovna, Farkhod Alisherov Alisherovich, Minkyu Choi, Eun-suk Cho, Furkhat Tadjibayev Abdurashidovich, Tai-Hoon Kim Research on Critical Infrastructures and Critical Information Infrastructures. Search on Bibsonomy BLISS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF critical information infrastructure, energy, manufacture, health, icon, finance, law, critical infrastructure, people, problem, food
21Chun-Ho Wu, Na Dong, Waihung Ip, Zengqiang Chen 0001, Kai-Leung Yung Applying Chaotic Particle Swarm Optimization to the Template Matching Problem. Search on Bibsonomy ISICA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Chaotic Particle Swarm Optimization, PCB Manufacture, Template Matching
21Yaluo Yang, Ming Li, Yayu Huang The Use of Configuration Conception in Software Development. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Software Development, Configuration, MIS, Virtual Manufacture
21Chen-Shu Wang, Yeu-Ruey Tzeng A Wireless Networking Technologies Overview Over Ubiquitous Service Applications. Search on Bibsonomy NCM (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF U-commerce, U-Manufacture, U-health, Wireless technology, Ubiquitous Services, U-learning
21Rezia M. Molfino, Enrico Carca, Matteo Zoppi, Fabio Bonsignorio, Massimo Callegari, Andrea Gabrielli, Marco Principi A Multi-agent 3D Simulation Environment for Clothing Industry. Search on Bibsonomy SIMPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cloth manufacture, robotics, multi-agent simulation
21Christophe Lécuyer, David C. Brock Biographies. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gordon Moore, semiconductor industry, silicon technology, silicon device manufacture, Fairchild Semiconductor, Shockley Semiconductor, microprocessor, integrated circuit, DRAM, personal computer, chemistry, Moore's law, Intel
21Kai Jin, Tongsen Wang, Annamalai Palaniappan Improving the agility of automobile industry supply chain. Search on Bibsonomy ICEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF supply chain management, manufacture, agility, EDI, automobile industry
21Vincenza Carchiolo, Sebastiano D'Ambra, Alessandro Longheu, Michele Malgeri Issues in object orienting the ST Microelectronics manufacturing model. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF semiconductor device manufacture, ST Microelectronics manufacturing model, structured production model, semiconductor device manufacturing, production flow, finite state machines, finite state machine, inheritance, inheritance, object-oriented methods, manufacturing systems, computer integrated manufacturing, object-oriented approach
21Jean-Marie Favre Understanding-In-The-Large. Search on Bibsonomy WPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Architecture, Evolution, Manufacture, Configuration Management, Software Understanding, Programming-In-The-Large, CPP
21C. H. Tong, Juan C. Meza, Chris D. Moen Simulation of equipment design optimisation in microelectronics manufacturing. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF equipment design optimisation simulation, microelectronics manufacturing, mathematical formulations, object oriented simulation environment, parametric study, short cycle time chemical vapor deposition reactor, numerical optimization problems, heat conduction, species transport simulation codes, modern optimization software, object oriented optimization environment, software architecture, optimization problems, integrated circuit manufacture
21Carlton Bickford, Marie S. Teo, Gary Wallace, John A. Stankovic, Krithi Ramamritham A robotic assembly application on the Spring real-time system. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF printed circuit manufacture, printed circuit layout, robotic assembly application, Spring real-time system, run-time system support, predictability demands, robotic work-cell, circuit board assembly, user understanding, target hardware properties, process layout, resource layout, shared resource usage, process suspension, efficient run-time representation, real-time systems, robots, timing, completeness, flexibility, reengineering, timing analysis, circuit layout CAD, assembling, systems re-engineering, interprocess communication, program representation, porting, ease of use, industrial robots, software development tools
21Prathima Agrawal, Balakrishnan Narendran, Narayanan Shivakumar Multi-way partitioning of VLSI circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multi-way partitioning, hierarchical design processes, nets cut metric, VLSI, delays, economics, logic CAD, VLSI layout, integrated circuit layout, VLSI circuits, logic partitioning, minimisation of switching nets, average delay, integrated circuit manufacture, cost metric
21Tonia G. Morris, Denise M. Wilson, Stephen P. DeWeerth Analog VLSI circuits for manufacturing inspection. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog VLSI circuits, manufacturing inspection, programmable structuring elements, oriented edge detection, high speed preprocessors, serial/parallel processing, focal-plane processing, vertical bipolar phototransistors, digital CMOS process, adaptive image threshold, 2.0 micron, computer vision, VLSI, edge detection, mathematical morphology, machine vision, manufacture, morphological operations, selective attention, massively parallel architectures, CMOS analogue integrated circuits, automatic optical inspection, focal planes, analogue processing circuits
21Claude Thibeault, Yvon Savaria, Jean-Louis Houle A Fast Method to Evaluate the Optimum Number of Spares in Defect-Tolerant Integrated Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF defect-tolerant integrated circuits, optimum number of spares, reconfiguration strategies, optimum redundancy, VLSI, logic testing, redundancy, defect tolerance, circuit reliability, yield models, integrated circuit manufacture
21Yang-Chang Hong, Thomas H. Payne Parallel Sorting in a Ring Network of Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF ring network of processors, selection sorting algorithms, ring-connected array, item placement, carry-look-ahead techniques, computer networks, sorting, maintenance, manufacture, parallel implementation, performance improvement, inventory, parallel sorting
21David W. Capson, Sai-Kit Eng A Tiered-Color Illumination Approach for Machine Inspection of Solder Joints. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF PCB inspection, tiered-color illumination, machine inspection, solder joints, color contours, colour vision, printed circuit manufacture, soldering, computer vision, statistical analysis, computerised pattern recognition, computerised pattern recognition, inspection, binary image, printed circuit boards, color vision, flaw detection
21Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Matthew Canton The presence table: a reactive surface for ambient connection. Search on Bibsonomy TEI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Jia Wang 0003, Kun Li, Qin Lv, Hai Zhou 0001, Li Shang Hybrid energy storage system integration for vehicles. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF electric-drive vehicles, energy storage systems, analysis
21Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici Post-silicon validation opportunities, challenges and recent advances. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon validation
21Erik D. Demaine, Martin L. Demaine, Sándor P. Fekete, Mashhood Ishaque, Eynat Rafalin, Robert T. Schweller, Diane L. Souvaine Staged self-assembly: nanomanufacture of arbitrary shapes with O (1) glues. Search on Bibsonomy Nat. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tiling, Nanotechnology, DNA computing, Self-assembly, DNA self-assembly
21Lanshen Guo, Haiwei Zhang, Yanxia Qi, Zhi Wei 0002 Study on Tool Wear Monitoring Based on Multi-source Information Fusion. Search on Bibsonomy ICIC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tool wears monitoring, Multi-source Information fusion, Neural network integration, Decision-making, On-line
21Guangbin Bu, Can Zhao, Dunwen Zuo, Min Wang The Influence of Material Removal on the Modal Change of Blade. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF impeller blades, modal, HSM
21Liu Cai-feng, Wang Zhong-yu A Novel Capacitance-Match Method for EAS Responder. Search on Bibsonomy CSSE (6) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21J. M. Gilbert, Ian M. Bell The Effectiveness of Test in Controlling Quality Costs: A Conformability Analysis Based Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF test escapes, test capability, electronics design, quality, test coverage, design for test, process capability
21Andriy Sadovnychyy, Sergiy Sadovnychiy, Volodymyr I. Ponomaryov Computational Intelligence Models of the Distributed Technological Complexes. Search on Bibsonomy IFSA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Erik D. Demaine, Martin L. Demaine, Sándor P. Fekete, Mashhood Ishaque, Eynat Rafalin, Robert T. Schweller, Diane L. Souvaine Staged Self-assembly: Nanomanufacture of Arbitrary Shapes with O (1) Glues. Search on Bibsonomy DNA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lingling Zhang 0001, Jun Li 0015, Qin Wang, Rencheng Tong, Yuejin Zhang, Xingsen Li A Study on the Relationship Between ERP Logic and Direct Consume Coefficient of I/O Table. Search on Bibsonomy CONFENIS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Workflow net (WF-net), Workflow resource management, Agent, Colored petri net, Workflow model, Business process analysis
21Daniel Howard 0001 Multiple Solutions by Means of Genetic Programming: A Collision Avoidance Example. Search on Bibsonomy RSKT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Genetic Programming, Multiple Solutions
21Aristides A. G. Requicha, Dan Arbuckle CAD/CAM for Nanoscale Self-Assembly. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanomanufacturing, nanoassembly, solid modeling, self-assembly, CAD/CAM
21Makoto Sugihara, Taiga Takata, Kenta Nakamura, Ryoichi Inanami, Hiroaki Hayashi, Katsumi Kishimoto, Tetsuya Hasebe, Yukihiro Kawano, Yusuke Matsunaga, Kazuaki J. Murakami, Katsuya Okumura A character size optimization technique for throughput enhancement of character projection lithography. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Luis E. Zárate, Elizabeth Marques Duarte Pereira Parametric Analysis of Solar Collectors Through Sensitivity Factors Via Artificial Neural Networks. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Chung-Kuan Cheng, Steve Lin, Andrew B. Kahng, Keh-Jeng Chang, Vijay Pitchumani, Toshiyuki Shibuya, Roberto Suaya, Zhiping Yu, Fook-Luen Heng, Don MacMillen Panel I: who is responsible for the design for manufacturability issues in the era of nano-technologies? Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Xinyu Liu, Zhiping Fan, Qingyu Li, Xiao Ji The Study on Customer-driven Agile Manufacturing System and Its Implemenation for Iron & Steel Enterprise. Search on Bibsonomy CIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Xinyu Liu, Haidong Tang, Zhiping Fan, Bing Deng Quality Improvement Modeling and Practice in Baosteel Based on KIV-KOV Analysis. Search on Bibsonomy WAIM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Incheon Paik, Shinjirou Takami, Yuu Watanabe Intelligent Agent to Support Design in Supply Chain Based on Semantic Web Services. Search on Bibsonomy HIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Juan Carlos Vidal, Manuel Lama, Alberto Bugarín, Senén Barro Problem-Solving Analysis for the Budgeting Task in Furniture Industry. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Alexander P. Morgan, John A. Cafeo, Diane I. Gibbons, Ronald M. Lesperance, Gülcin H. Sengir, Andrea M. Simon CBR for Dimensional Management in a Manufacturing Plant. Search on Bibsonomy ICCBR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Theodore Lim, Jonathan R. Corney, Doug E. R. Clark A laminae approach to constructing geometric feature volumes. Search on Bibsonomy Symposium on Solid Modeling and Applications The full citation details ... 2001 DBLP  DOI  BibTeX  RDF laminae, non-2.5D geometry, CAD/CAM, feature recognition, geometric reasoning
21Peter J. Edwards, Alan F. Murray, Georgios Papadopoulos 0001, A. Robin Wallace, John Barnard, Gordon Smith The application of neural networks to the papermaking industry. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Madan G. Singh, Khalil S. Hindi A multilevel multilayer framework for manufacturing control. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Manufacturing control, multilevel systems, multilayer systems
21Yehonathan Hazony Application of Nested Arrays to Databases for Engineering Design. Search on Bibsonomy APL The full citation details ... 1991 DBLP  DOI  BibTeX  RDF APL
18Eymard Hernández-López, Emilio Pérez-Pérez, Giovanni Wences Design and manufacture of an X-ray generator by support vector machines. Search on Bibsonomy Evol. Intell. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Dongfang Zhao 0015, Junning Cui, Xingyuan Bian, Zhenghao Li, Yanxu Sun An Adaptive Multi-Population Approach for Sphericity Error Evaluation in the Manufacture of Hemispherical Shell Resonators. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
18Guoxian Qiu, Weifu Huang Mechanical design, manufacture and automation: Research progress and fusion ant colony algorithm-based optimization. Search on Bibsonomy Appl. Artif. Intell. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Qiang Ou, Longfu Luo, Yong Li 0016, Ying Li, Jinwen Xiang Buckling Strength Investigation for Power Transformer Winding Under Short Circuit Impact Considering Manufacture and Operation. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Erol Yilmaz, Muhammed Ucuncu Coaxial Low-Pass Filter Design and Manufacture. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Nan Wang, Yifan Zhao, Chengfeng Li, Peng Wang, Changming Zhang Design, Manufacture, and Experimental Verification of Contactless Power Supply Coupler for Rotary Wireless Sensor Node of Water-Lubricated Bearing. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Kai Zhang, Chongjie Dong Using neural network to automatic manufacture product label in enterprise under IoT environments. Search on Bibsonomy Comput. Sci. Inf. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Liyu Zhu, Shilin Yang, Tianyang Cao, Yaoming Huang, Tianxiang Ji, Jing Wang, Jun Xu, Zhiqiang Yu, Jianyi Zhou, Wei Hong 0002 A Design Method to Realize Manufacture-Friendly Millimeter-Wave Folded Substrate Integrated Waveguide Bandpass Filters. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sam C. A. Costello, Chloe R. Cunningham, Fangda Xu, Alborz Shokrani, Vimal Dhokia, Stephen T. Newman The state-of-the-art of wire arc directed energy deposition (WA-DED) as an additive manufacturing process for large metallic component manufacture. Search on Bibsonomy Int. J. Comput. Integr. Manuf. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shuhang Zhang, Bokeon Kwak, Dario Floreano Design and manufacture of edible microfluidic logic gates. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hongling Liu, Yu-Qiang Chen Using blockchain technology in IoT manufacture environment for intelligence prediction. Search on Bibsonomy Soft Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Ross McMillan, Rory Hampson, Morteza Tabatabaeipour, William Jackson, Dayi Zhang, Konstantinos Tzaferis, Gordon Dobie Design and Manufacture of an Optimised Side-Shifted PPM EMAT Array for Use in Mobile Robotic Localisation. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Rachel Horne, Caroline Law-Walsh, Zena Assaad, Keith F. Joiner Ten regulatory principles to scaffold the design, manufacture, and use of trustworthy autonomous systems, illustrated in a maritime context. Search on Bibsonomy TAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Tuo Pi, Shiyuan Li, Chong Liu, Yilin Pan Design, manufacture and motion research of quadruped robot structure and electrical components. Search on Bibsonomy ICISCAE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Shuhang Zhang, Bokeon Kwak, Dario Floreano Design and manufacture of edible microfluidic logic gates. Search on Bibsonomy RoboSoft The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Sotirios Panagou, Giuseppe La Cava, Fabio Fruggiero, Francesco Mancusi Selective Complexity Determination at Cost Based Alternatives to Re-manufacture. Search on Bibsonomy APMS (4) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Davin Browner, Sina Sareh, Paul Anderson Additive manufacture of polymeric organometallic ferroelectric diodes (POMFeDs) for structural neuromorphic hardware. Search on Bibsonomy NICE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Suhasini Komarraju, Akhil Tammana, Chandramouli N. Amarnath, Abhijit Chatterjee OATT: Outlier Oriented Alternative Testing and Post-Manufacture Tuning of Mixed-Signal/RF Circuits and Systems. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Alvin Rivera, Diego Amau, Carlos Perea CNC Simulation and Control System for the Industrial Cutting of Fabric in the Manufacture of Peruvian T-Shirts. Search on Bibsonomy ICCMA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Tetiana Yemelianenko, Alain Trémeau, Iuliia Tkachenko Printed Packaging Authentication: Similarity Metric Learning for Rotogravure Manufacture Process Identification. Search on Bibsonomy VISIGRAPP (4: VISAPP) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Feng Zhou Generative co-design & non-planar additive manufacture of aesthetic prostheses. Search on Bibsonomy 2023   RDF
18Ali Ihsan Kaya, Ahmet Çifci, Filiz Kirdiogullari, Mesud Kahriman, Osman Cerezci Design and manufacture of electromagnetic absorber composed of boricacid-incorporated wastepaper composites. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Zhifang Liu Using neural network to establish manufacture production performance forecasting in IoT environment. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Yohei Kobayashi, Ryotaro Kayawake, Keito Sagane, Kazuaki Murai, Yasuo Utsumi Design and Manufacture of a New Debris Retrieval Robot. Search on Bibsonomy J. Robotics Mechatronics The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Trunal Bhujangrao, Fernando Veiga, Mariluz Penalva, Adriana Costas, Cristina Ruiz Three-Dimensional Finite Element Modelling of Sheet Metal Forming for the Manufacture of Pipe Components: Symmetry Considerations. Search on Bibsonomy Symmetry The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Christian Keup, Moritz Helias Origami in N dimensions: How feed-forward networks manufacture linear separability. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1659 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license