The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase modulo-scheduling (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1996 (16) 1997-1999 (15) 2000-2002 (22) 2003-2005 (16) 2006-2007 (20) 2008-2009 (15) 2010-2014 (16) 2016-2019 (16) 2020-2024 (14)
Publication types (Num. hits)
article(34) data(1) incollection(1) inproceedings(111) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 170 occurrences of 77 keywords

Results
Found 150 publication records. Showing 150 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
119Yosi Ben-Asher, Danny Meisler Towards a Source Level Compiler: Source Level Modulo Scheduling. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
116Yosi Ben-Asher, Danny Meisler Towards a Source Level Compiler: Source Level Modulo Scheduling. Search on Bibsonomy Program Analysis and Compilation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
113Daniel M. Lavery, Wen-mei W. Hwu Modulo Scheduling of Loops in Control-intensive Non-numeric Programs. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF control-intensive, modulo variable expansion, instruction-level parallelism, software pipelining, speculation, modulo scheduling
91Eric Stotzer, Ernst L. Leiss Modulo scheduling without overlapped lifetimes. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction level parallelism, register allocation, software pipelining, modulo scheduling
72Josep Llosa, Stefan M. Freudenberger Reduced code size modulo scheduling in the absence of hardware support. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Doosan Cho, Ravi Ayyagari, Gang-Ryung Uh, Yunheung Paek Instruction Re-selection for Iterative Modulo Scheduling on High Performance Multi-issue DSPs. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Register Constrained Modulo Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Instruction level parallelism, register allocation, instruction scheduling, modulo scheduling, spill code
60Kevin Fan, Manjunath Kudlur, Hyunchul Park 0001, Scott A. Mahlke Cost Sensitive Modulo Scheduling in a Loop Accelerator Synthesis System. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Josep M. Codina, Josep Llosa, Antonio González 0001 A comparative study of modulo scheduling techniques. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction level parallel architectures, instruction scheduling, Modulo scheduling, comparative study, quantitative evaluation
57B. Ramakrishna Rau Iterative modulo scheduling: an algorithm for software pipelining loops. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF software pipelining, instruction scheduling, modulo scheduling, loop scheduling
53Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao Single-dimension software pipelining for multidimensional loops. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software pipelining, loop transformation, modulo scheduling
51D. V. Ravindra, Y. N. Srikant Improved Preprocessing Methods for Modulo Scheduling Algorithms. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Daniel Kästner, Markus Pister 0002 Generic Software Pipelining at the Assembly Level. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PROPAN, software pipelining, modulo scheduling, postpass optimization
50Josep M. Codina, F. Jesús Sánchez, Antonio González 0001 A Unified Modulo Scheduling and Register Allocation Technique for Clustered Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register allocation, Modulo scheduling, clustered architectures, spill code, cluster assignment
48Hyunchul Park 0001, Kevin Fan, Scott A. Mahlke, Taewook Oh, Heeseok Kim, Hong-Seok Kim Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF operand routing, programmable accelerator, software pipelining, coarse-grained reconfigurable architecture
48Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero MIRS: Modulo Scheduling with Integrated Register Spilling. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Register Allocation, Software Pipelining, Spill Code
47Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Pre-Fetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell BE Architecture, Modulo Scheduling, Pre-fetching, Software Cache
46Lin Gao 0002, Quan Hoang Nguyen 0001, Lian Li 0002, Jingling Xue, Tin-Fook Ngai Thread-Sensitive Modulo Scheduling for Multicore Processors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Doosan Cho, Ravi Ayyagari, Gang-Ryung Uh, Yunheung Paek Preprocessing Strategy for Effective Modulo Scheduling on Multi-issue Digital Signal Processors. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Matthew C. Merten, Wen-mei W. Hwu Modulo schedule buffers. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Coarse-grained reconfigurable arrays, High productivity tools, Modulo scheduling, Architectural exploration, Compiler techniques
38Kevin Fan, Hyunchul Park 0001, Manjunath Kudlur, Scott A. Mahlke Modulo scheduling for highly customized datapaths to increase hardware reusability. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF programmable asic, modulo scheduling, loop accelerator
38Erik Nystrom, Alexandre E. Eichenberger Effective Cluster Assignment for Modulo Scheduling. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ILP, modulo scheduling, cluster architecture, cluster assignment
38Daniel M. Lavery, Wen-mei W. Hwu Unrolling-based optimizations for modulo scheduling. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimization, instruction-level parallelism, software pipelining, modulo scheduling, loop unrolling
37Alexandre E. Eichenberger, Edward S. Davidson, Santosh G. Abraham Minimum register requirements for a modulo schedule. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Bingfeng Mei, Serge Vernalde, Diederik Verkest, Hugo De Man, Rudy Lauwereins Exploiting Loop-Level Parallelism on Coarse-Grained Reconfigurable Architectures Using Modulo Scheduling. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Satish Pillai, Margarida F. Jacome Compiler-Directed ILP Extraction for Clustered VLIW/EPIC Machines: Predication, Speculation and Modulo Scheduling. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Josep Llosa, Eduard Ayguadé, Antonio González 0001, Mateo Valero, Jason Eckhardt Lifetime-Sensitive Modulo Scheduling in a Production Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register requirements, software pipelining, VLIW, instruction scheduling, loop scheduling, Fine grain parallelism, superscalar architectures
36Eric Stotzer, Ernst L. Leiss Modulo Scheduling for the TMS320C6x VLIW DSP Architecture. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Demystifying on-the-fly spill code. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register allocation, modulo scheduling, spill code
34Uma Mahadevan, Kevin Nomura, Roy Dz-Ching Ju, Rick Hank Applying Data Speculation in Modulo Scheduled Loops. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Yuan Lin 0002, Manjunath Kudlur, Scott A. Mahlke, Trevor N. Mudge Hierarchical coarse-grained stream compilation for software defined radio. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MPSoC compilation, dataflow programming model, software defined radio, modulo scheduling
33SangMin Shim, Soo-Mook Moon Split-Path Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multipath loops, enhanced pipeline scheduling, all-path pipelining, Instruction-level parallelism, software pipelining, modulo scheduling
31Mattias V. Eriksson, Christoph W. Kessler Integrated Modulo Scheduling for Clustered VLIW Architectures. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31F. Jesús Sánchez, Antonio González 0001 The Effectiveness of Loop Unrolling for Modulo Scheduling in Clustered VLIW Architectures. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Peter Pfahler, Georg Piepenbrock A Comparison of Modulo Scheduling Techniques for Software Pipelining. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, Software Pipelining, VLIW, Superscalar Processors
31Alex Aletà, Josep M. Codina, Antonio González 0001, David R. Kaeli Removing communications in clustered microarchitectures through instruction replication. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction replication, statically scheduled processors, ILP, modulo-scheduling, Clustered microarchitectures
31Osvaldo Colavin, Davide Rizzo A scalable wide-issue clustered VLIW with a reconfigurable interconnect. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clustered VLIW, reconfigurable co-processor (RCP), modulo scheduling, IDCT
29Alban Douillet, Hongbo Rong, Guang R. Gao Multi-dimensional Kernel Generation for Loop Nest Software Pipelining. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Lei Gao, David Zaretsky, Gaurav Mittal, Dan Schonfeld, Prith Banerjee A software pipelining algorithm in high-level synthesis for FPGA architectures. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Alexandre E. Eichenberger, Edward S. Davidson Efficient Formulation for Optimal Modulo Schedulers. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Erik R. Altman, Guang R. Gao Optimal Software Pipelining Through Enumeration of Schedules. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Jason Xue Minimizing Leakage Energy with Modulo Scheduling for VLIW DSP Processors. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Akira Hatanaka, Nader Bagherzadeh A Modulo Scheduling Algorithm for a Coarse-Grain Reconfigurable Array Template. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Marcio Merino Fernandes, Josep Llosa, Nigel P. Topham Distributed Modulo Scheduling. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Grigoris Dimitroulakos, Michalis D. Galanis, Constantinos E. Goutis Resource constrained modulo scheduling for coarse-grained reconfigurable arrays. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Noureddine Chabini, Wayne H. Wolf Unification of scheduling, binding, and retiming to reduce power consumption under timings and resources constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Theory for Software-Hardware Co-Scheduling for ASIPs and Embedded Processors. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Yongjun Park 0001, Hyunchul Park 0001, Scott A. Mahlke CGRA express: accelerating execution using dynamic operation fusion. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF latency-constrained, subgraph accelerator, modulo scheduling, coarse-grained reconfigurable architecture
21Miao Wang, Rongcai Zhao, Jianmin Pang, Guoming Cai Reconstructing Control Flow in Modulo Scheduled Loops. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register rotation, modulo scheduling, decompilation, predication execution, conditional branches
21Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson Latency-tolerant software pipelining in a production compiler. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic
21Grigoris Dimitroulakos, Michalis D. Galanis, Nikos Kostaras, Costas E. Goutis A unified evaluation framework for coarse grained reconfigurable array architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable arrays, reconfigurable romputing, Modulo scheduling, architectural exploration
21Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis Compiler assisted architectural exploration for coarse grained reconfigurable arrays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable arrays, reconfigurable computing, modulo scheduling, architectural exploration
21Kevin Fan, Manjunath Kudlur, Hyunchul Park 0001, Scott A. Mahlke Increasing hardware efficiency with multifunction loop accelerators. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multifunction design, high-level synthesis, modulo scheduling, loop accelerator, application-specific hardware
21Hyunchul Park 0001, Kevin Fan, Manjunath Kudlur, Scott A. Mahlke Modulo graph embedding: mapping applications onto coarse-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF graph embedding, modulo scheduling, coarse-grained reconfigurable architecture
21Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Software and Hardware Techniques to Optimize Register File Utilization in VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF register requirements, register file organization, clustered organization, Modulo scheduling, spill code
21Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
21Enric Gibert, F. Jesús Sánchez, Antonio González 0001 An interleaved cache clustered VLIW processor. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures
21Dean Batten, Sanjay Jinturkar, C. John Glossner, Michael J. Schulte, Paul D'Arcy A New Approach to DSP Intrinsic Functions. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Speech coders, intrinsic functions, profile-directed function inlining, performance analysis, instruction-level parallelism, software pipelining, speedup, modulo scheduling, loop optimization, code growth
21Pierre-Yves Calland, Alain Darte, Yves Robert Circuit Retiming Applied to Decomposed Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF circuit retiming, Software pipelining, list scheduling, modulo scheduling, cyclic scheduling
21SangMin Shim, Soo-Mook Moon Split-path Enhanced Pipeline Scheduling for Loops with Control Flows. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF all-path pipelining, enhanced pipeline scheduling, initiation interval, multi-path loops, software pipelining, modulo scheduling
20Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao Single-Dimension Software Pipelining for Multi-Dimensional Loops. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Greg Snider Performance-constrained pipelining of software loops onto reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Miao Wang, Rongcai Zhao, Guoming Cai Un-speculation in Modulo Scheduled Loops. Search on Bibsonomy IMSCCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Cristian Tirelli, Juan Sapriza, Rubén Rodríguez Álvarez, Lorenzo Ferretti, Benoît W. Denkinger, Giovanni Ansaloni, Jose Angel Miranda Calero, David Atienza, Laura Pozzi SAT-based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Li Lin, Song Mu, Bo Wang modulo scheduling. Search on Bibsonomy 2023   DOI  RDF
16Cristian Tirelli, Lorenzo Ferretti, Laura Pozzi SAT-MapIt: A SAT-based Modulo Scheduling Mapper for Coarse Grain Reconfigurable Architectures. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Cristian Tirelli, Lorenzo Ferretti, Laura Pozzi SAT-MapIt: An Open Source Modulo Scheduling Mapper for Coarse Grain Reconfigurable Architectures. Search on Bibsonomy CF The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Patrick Sittel, Nicolai Fiege, John Wickerson, Peter Zipf Optimal and Heuristic Approaches to Modulo Scheduling With Rational Initiation Intervals in Hardware Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Hongli Zhong, Zhong Liu, Sheng Liu 0001, Sheng Ma, Chen Li 0015 Adaptive Low-Cost Loop Expansion for Modulo Scheduling. Search on Bibsonomy NPC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Nicolai Fiege, Patrick Sittel, Peter Zipf Speeding Up Optimal Modulo Scheduling with Rational Initiation Intervals. Search on Bibsonomy FPL The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Nicolai Fiege, Patrick Sittel, Peter Zipf Improving Energy Efficiency in Loop Pipelining by Rational-II Modulo Scheduling. Search on Bibsonomy FCCM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Hongli Zhong, Zhong Liu Long-life Sensitive Modulo Scheduling with Adaptive Loop Expansion. Search on Bibsonomy ICPADS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Leandro de Souza Rosa, Christos-Savvas Bouganis, Vanderlei Bonato Non-iterative SDC modulo scheduling for high-level synthesis. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Hanna Kruppe, Lukas Sommer, Lukas Weber, Julian Oppermann, Cristian Axenie, Andreas Koch 0001 Efficient Operator Sharing Modulo Scheduling for Sum-Product Network Inference on FPGAs. Search on Bibsonomy SAMOS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Zhongyuan Zhao 0004, Weiguang Sheng, Qin Wang 0009, Wenzhi Yin, Pengfei Ye, Jinchao Li, Zhigang Mao Towards Higher Performance and Robust Compilation for CGRA Modulo Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mahesh Balasubramanian 0001, Aviral Shrivastava CRIMSON: Compute-Intensive Loop Acceleration by Randomized Iterative Modulo Scheduling and Optimized Mapping on CGRAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Patrick Sittel, John Wickerson, Martin Kumm, Peter Zipf Modulo Scheduling with Rational Initiation Intervals in Custom Hardware Design. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Julian Oppermann, Melanie Reuter-Oppermann, Lukas Sommer, Andreas Koch 0001, Oliver Sinnen Exact and Practical Modulo Scheduling for High-Level Synthesis. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Leandro de Souza Rosa, Christos-Savvas Bouganis, Vanderlei Bonato Scaling Up Modulo Scheduling for High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Patrick Sittel, Nicolai Fiege, Martin Kumm, Peter Zipf Isomorphic Subgraph-based Problem Reduction for Resource Minimal Modulo Scheduling. Search on Bibsonomy ReConFig The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Julian Oppermann, Patrick Sittel, Martin Kumm, Melanie Reuter-Oppermann, Andreas Koch 0001, Oliver Sinnen Design-Space Exploration with Multi-Objective Resource-Aware Modulo Scheduling. Search on Bibsonomy Euro-Par The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Steve Dai, Zhiru Zhang Improving Scalability of Exact Modulo Scheduling with Specialized Conflict-Driven Learning. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Julian Oppermann Advances in ILP-based Modulo Scheduling for High-Level Synthesis. Search on Bibsonomy 2019   RDF
16Onur Mutlu, Scott A. Mahlke, Thomas M. Conte, Wen-Mei W. Hwu Iterative Modulo Scheduling. Search on Bibsonomy IEEE Micro The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Patrick Sittel, Martin Kumm, Julian Oppermann, Konrad Möller, Peter Zipf, Andreas Koch 0001 ILP-Based Modulo Scheduling and Binding for Register Minimization. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Julian Oppermann, Melanie Reuter-Oppermann, Lukas Sommer, Oliver Sinnen, Andreas Koch 0001 Dependence Graph Preprocessing for Faster Exact Modulo Scheduling in High-Level Synthesis. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Julian Oppermann, Sebastian Vollbrecht, Melanie Reuter-Oppermann, Oliver Sinnen, Andreas Koch 0001 GeMS: a generator for modulo scheduling problems: work in progress. Search on Bibsonomy CASES The full citation details ... 2018 DBLP  BibTeX  RDF
16Tianyi Lu, Shouyi Yin, Xianqing Yao, Zhicong Xie, Leibo Liu, Shaojun Wei Joint Modulo Scheduling and Memory Partitioning with Multi-Bank Memory for High-Level Synthesis (Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2017 DBLP  BibTeX  RDF
16Tianyi Lu, Shouyi Yin, Xianqing Yao, Zhicong Xie, Leibo Liu, Shaojun Wei Memory fartitioning-based modulo scheduling for high-level synthesis. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Shouyi Yin, Jiangyuan Gu, Dajiang Liu, Leibo Liu, Shaojun Wei Joint Modulo Scheduling and Vdd Assignment for Loop Mapping on Dual- Vdd CGRAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ricardo S. Ferreira 0001, Waldir Denver, Monica Magalhães Pereira, Stephan Wong, Carlos Arthur Lang Lisbôa, Luigi Carro A Dynamic Modulo Scheduling with Binary Translation: Loop optimization with software compatibility. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Julian Oppermann, Andreas Koch 0001, Melanie Reuter-Oppermann, Oliver Sinnen ILP-based modulo scheduling for high-level synthesis. Search on Bibsonomy CASES The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Michael Witterauf, Alexandru Tanase, Frank Hannig, Jürgen Teich Modulo scheduling of symbolically tiled loops for tightly coupled processor arrays. Search on Bibsonomy ASAP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ricardo S. Ferreira 0001, Waldir Denver, Monica Magalhães Pereira, Jorge Quadros, Luigi Carro, Stephan Wong A run-time modulo scheduling by using a binary translation mechanism. Search on Bibsonomy ICSAMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mounir Bahtat, Said Belkouch, Philippe Elleaume, Phillipe Le Gall Fast enumeration-based modulo scheduling heuristic for VLIW architectures. Search on Bibsonomy ICM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Nikolai Kim, Andreas Krall Integrated modulo scheduling and cluster assignment for TI TMS320C64x+ architecture. Search on Bibsonomy ODES@CGO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Maria Ayala, Abir Benabid, Christian Artigues, Claire Hanen The resource-constrained modulo scheduling problem: an experimental study. Search on Bibsonomy Comput. Optim. Appl. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ricardo S. Ferreira 0001, Vinicius Duarte, Waldir Meireles, Monica Magalhães Pereira, Luigi Carro, Stephan Wong A just-in-time modulo scheduling for virtual coarse-grained reconfigurable architectures. Search on Bibsonomy ICSAMOS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Zhiru Zhang, Bin Liu 0006 SDC-based modulo scheduling for pipeline synthesis. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 150 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license