|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 156 occurrences of 111 keywords
|
|
|
Results
Found 117 publication records. Showing 117 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
53 | Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita |
SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 78-85, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
52 | W. Lynn Gallagher, Chuan-lin Wu |
Evaluation of a memory hierarchy for the MTS multithreaded processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1997 International Conference on Parallel and Distributed Systems (ICPADS '97), 11-13 December 1997, Seoul, Korea, Proceedings, pp. 346-351, 1997, IEEE Computer Society, 0-8186-8227-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
memory hierarchy evaluation, MTS multithreaded processor, hardware resource utilization, instruction throughput, multithreaded superscalar processor, multiple instruction streams, multiple functional unit architecture, parameter-driven simulator, SES/workbench, numerical benchmarks, memory system configurations, main memory latency, cache hit rates, realistic multilevel cache hierarchy, parallel processing, VLIW, superscalar processor, instruction cache |
49 | Michael Scherger, Johnnie W. Baker, Jerry L. Potter |
Multiple Instruction Stream Control for an Associative Model of Parallel Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 266, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Multiple instruction streams, associative computing, parallel processing, system software |
41 | Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye |
Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 201-211, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures |
32 | Juan E. Gilbert, Chia Y. Han 0001 |
Researching Adaptive Instruction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AH ![In: Adaptive Hypermedia and Adaptive Web-Based Systems, International Conference, AH 2000, Trento, Italy, August 28-30, 2000, Proceedings, pp. 409-414, 2000, Springer, 3-540-67910-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Mitsuhisa Sato, Shuichi Ichikawa, Eiichi Goto |
Multiple instruction streams in a highly pipelined processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, SPDP 1990, Dallas, Texas, USA, December 9-13, 1990., pp. 182-189, 1990, IEEE Computer Society, 0-8186-2087-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
30 | Shyh-Kwei Chen, W. Kent Fuchs |
Compiler-Assisted Multiple Instruction Word Retry for VLIW Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(12), pp. 1293-1304, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
compilers, Fault-tolerant computing, instruction level parallelism, VLIW architectures, instruction retry |
30 | Hongtao Zhong, Kevin Fan, Scott A. Mahlke, Michael S. Schlansker |
A Distributed Control Path Architecture for VLIW Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 17-21 September 2005, St. Louis, MO, USA, pp. 197-206, 2005, IEEE Computer Society, 0-7695-2429-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Sreeram Duvvuru, Siamak Arya |
Evaluation of a branch target address cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 173-180, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes |
27 | Sanjay Ranka, Sartaj Sahni |
Clustering on a Hypercube Multicomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 2(2), pp. 129-137, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
square error, clustering problem, NMK processors, multiple-data, parallel algorithms, computational complexity, hypercube networks, single-instruction multiple-data, SIMD, MIMD, hypercube multicomputer, multiple-instruction |
27 | Mark R. Thistle, Burton J. Smith |
A processor architecture for horizon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, pp. 35-41, 1988, IEEE Computer Society, 0-8186-0882-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
24 | Andrew R. Pleszkun, Gurindar S. Sohi |
Multiple instruction issue and single-chip processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 21st Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1988, San Diego, California, USA, November 28 - December 2, 1988, pp. 64-66, 1988, ACM/IEEE, 0-8186-1919-8. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP BibTeX RDF |
|
24 | Charles C. Weems, Christopher M. Brown, Jon A. Webb, Tomaso A. Poggio, John R. Kender |
Parallel Processing in the DARPA Strategic Computing Vision Program. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Expert ![In: IEEE Expert 6(5), pp. 23-38, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
22 | Matthew T. O'Keefe, Henry G. Dietz |
Loop Coalescing and Scheduling for Barrier MIMD Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(9), pp. 1060-1064, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
barrier MIMD, multiple instruction stream, multiple datastream, loop coalescing, nested loop structures, compiler parallelization, static barrierMIMD, scheduling, scheduling, parallel programming, parallel architectures, compiler optimization, program compilers, asynchronous, loop transformations, barrier synchronization, linear scheduling |
22 | Paolo Cremonesi, Claudio Gennaro |
Integrated Performance Models for SPMD Applications and MIMD Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(7), pp. 745-757, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
fork-join queues, mean value analysis (MVA), speedup surface, performance model, parallel I/O, multiple instruction multiple data (MIMD), Single program multiple data (SPMD), synchronization overhead, queuing network model |
22 | Paolo Cremonesi, Claudio Gennaro |
Integrated Performance Models for SPMD Applications and MIMD Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(12), pp. 1320-1332, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
fork-join queues, mean value analysis (MVA), speedup surface, performance model, parallel I/O, multiple instruction multiple data (MIMD), Single program multiple data (SPMD), synchronization overhead, queuing network model |
22 | C. V. Ramakrishnan, S. Ramesh Kumar |
Comparative Performance of Frontal (Direct) and PCG (Iterative) Solver Based Parallel Computations of Finite Element Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings, 2002, IEEE Computer Society, 0-7695-1573-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Finite Element Analysis (FEA), Symmetric Multi-processor (SMP), Preconditioned Conjugate Gradient (PCG), degrees of freedom (dof), maximum bandwidth (mbwd), maximum frontwidth (mfwd), Number of processors (Numprocs), iterations (iter), Message Passing Interface (MPI), Single Instruction Multiple Data (SIMD), Multiple Instruction Multiple Data (MIMD) |
22 | Weijia Shang, José A. B. Fortes |
Independent Partitioning of Algorithms with Uniform Dependencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 41(2), pp. 190-206, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
uniform dependence algorithms, index sets, maximal independent partitions, optimality, parallel algorithms, computational complexity, computational complexity, lower bounds, upper bounds, multiple instruction multiple data, cardinality, MIMD machines |
22 | Richard G. Cooper |
The Distributed Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 26(11), pp. 1123-1132, 1977. The full citation details ...](Pics/full.jpeg) |
1977 |
DBLP DOI BibTeX RDF |
Array of computers, distributed pipeline (DP), multiple-instruction multiple-data-stream (MIMD) computer, distributed computer, multiprocessor, pipeline, computer network, computer architecture, microprocessor, microcomputer |
21 | Sue M. Gray, Rod Adams, G. J. Green, Gordon B. Steven |
Static instruction scheduling for the HARP multiple-instruction-issue architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 17(7), pp. 415-424, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
21 | Liang Wang 0016 |
Instruction scheduling for a family of multiple instruction issue architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1993 |
RDF |
|
21 | James Phillips, Stamatis Vassiliadis |
High-Performance 3-1 Interlock Collapsing ALU's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(3), pp. 257-268, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
3-1 interlock collapsing ALU, execution interlocks, multiple instruction issuing machines, parallel architectures, delay, digital arithmetic, CMOS technology, critical path, reduced instruction set computing, Boolean equations |
19 | Stephen Hines, Gary S. Tyson, David B. Whalley |
Reducing Instruction Fetch Cost by Packing Instructions into RegisterWindows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 19-29, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
19 | Muhamed F. Mudawar |
Scalable cache memory design for large-scale SMT architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 65-71, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scalable multiported cache memory, simultaneous multithreaded architectures |
19 | Montserrat Ros, Peter Sutton |
Compiler optimization and ordering effects on VLIW code compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 95-103, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
compiler optimizations, VLIW, code compression |
18 | Jaume Abella 0001, Antonio González 0001 |
SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Richard A. Hankins, Gautham N. Chinya, Jamison D. Collins, Perry H. Wang, Ryan N. Rakvic, Hong Wang 0003, John Paul Shen |
Multiple Instruction Stream Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 33rd International Symposium on Computer Architecture (ISCA 2006), June 17-21, 2006, Boston, MA, USA, pp. 114-127, 2006, IEEE Computer Society, 0-7695-2608-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Pradeep Rao, S. K. Nandy 0001, M. N. V. Satya Kiran |
Simultaneous MultiStreaming for Complexity-Effective VLIW Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 8th Asia-Pacific Conference, ACSAC 2003, Aizu-Wakamatsu, Japan, September 23-26, 2003, Proceedings, pp. 166-179, 2003, Springer, 3-540-20122-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith |
Exploring Hypermedia Processor Design Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 27(1-2), pp. 171-186, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
hypermedia processor, synthesis framework, instruction-level parallelism, workload characterization |
18 | Parthasarathy Ranganathan, Kourosh Gharachorloo, Sarita V. Adve, Luiz André Barroso |
Performance of Database Workloads on Shared-Memory Systems with Out-of-Order Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 3-7, 1998., pp. 307-318, 1998, ACM Press, 1-58113-107-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yaglikçi, F. Nisa Bostanci, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu |
MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.19080, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yaglikçi, F. Nisa Bostanci, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu |
MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: IEEE International Symposium on High-Performance Computer Architecture, HPCA 2024, Edinburgh, United Kingdom, March 2-6, 2024, pp. 186-203, 2024, IEEE, 979-8-3503-9313-2. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
16 | Esmaeil Amini, Zahra Jeddi, Ahmed K. F. Khattab, Magdy A. Bayoumi |
Performance Evaluation and Design Optimization for Flexible Multiple Instruction Multiple Data Elliptic Curve Cryptography Crypto Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Low Power Electron. ![In: J. Low Power Electron. 11(1), pp. 1-15, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
16 | Rolf Riesen, Arthur B. Maccabe |
MIMD (Multiple Instruction, Multiple Data) Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Parallel Computing ![In: Encyclopedia of Parallel Computing, pp. 1140-1149, 2011, Springer, 978-0-387-09765-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
16 | Nick Bailey, Alan Purvis, Peter D. Manning, Ian Bowler, Durham Music Technology |
Some observations on hierarchical, multiple-instruction-multiple-data computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microprogramming ![In: Microprocess. Microprogramming 34(1-5), pp. 211-214, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
16 | Martin R. Stytz |
Three-dimensional medical image analysis using local dynamic algorithm selection on a multiple-instruction, multiple-data architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1989 |
RDF |
|
16 | Charles H. Radoy, G. Jack Lipovski |
Switched Multiple Instruction, Multiple Data Stream Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 2nd Annual Symposium on Computer Architecture, Houston, TX, USA, December 1974, pp. 183-187, 1974, ACM, 978-1-4503-7366-1. The full citation details ...](Pics/full.jpeg) |
1974 |
DBLP DOI BibTeX RDF |
|
15 | Daniel R. Johnson, Matthew R. Johnson 0003, John H. Kelm, William Tuohy, Steven S. Lumetta, Sanjay J. Patel |
Rigel: A 1, 024-Core Single-Chip Accelerator Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 31(4), pp. 30-41, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Multiple data-stream architectures (multiprocessors), multiple data processors, single-chip multiprocessors, parallel architectures, multicore, parallel processors, multiple instruction |
15 | Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea |
An alternative to branch prediction: pre-computed branches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 31(3), pp. 20-29, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction |
15 | Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung |
Design of Instruction Stream Buffer with Trace Support for X86 Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 294-299, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache |
15 | Sanjeev Banerjia, Sumedh W. Sathaye, Kishore N. Menezes, Thomas M. Conte |
MPS: Miss-Path Scheduling for Multiple-Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 47(12), pp. 1382-1397, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Multiple instruction issue, miss path scheduling, schedule cache, instruction level parallelism |
15 | Ramón D. Acosta, Jacob Kjelstrup, Hwa C. Torng |
An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 35(9), pp. 815-828, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
processor performance enhancement, Dispatch stack, instruction issuing, instruction unit, multiple functional unit processors, multiple instruction dispatching, dynamic instruction scheduling |
15 | James T. Kuehn, Burton J. Smith |
The horizon supercomputing system: architecture and software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, pp. 28-34, 1988, IEEE Computer Society, 0-8186-0882-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
13 | Perry H. Wang, Jamison D. Collins, Gautham N. Chinya, Bernard Lint, Asit Mallick, Koichi Yamada, Hong Wang 0003 |
Sequencer virtualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 21th Annual International Conference on Supercomputing, ICS 2007, Seattle, Washington, USA, June 17-21, 2007, pp. 148-157, 2007, ACM, 978-1-59593-768-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
virtualization, multi-cores, MIMD |
13 | Austin Rogers, Milena Milenkovic, Aleksandar Milenkovic |
A low overhead hardware technique for software integrity and confidentiality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 113-120, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Darrell R. Ulm, Michael Scherger |
Stream PRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Xiaofang Wang, Sotirios G. Ziavras |
Performance-Energy Tradeoffs for Matrix Multiplication on FPGA-Based Mixed-Mode Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA, pp. 386-391, 2007, IEEE Computer Society, 978-0-7695-2795-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | |
Multiple-Instruction Issue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Parallel Computing ![In: Encyclopedia of Parallel Computing, pp. 1222, 2011, Springer, 978-0-387-09765-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
12 | Hussein Karaki, Haitham Akkary |
Multiple instruction sets architecture (MISA). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEAC ![In: International Conference on Energy Aware Computing, ICEAC 2011, Istanbul, Turkey, November 30 - December 2, 2011, pp. 1-6, 2011, IEEE, 978-1-4673-0466-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
12 | Wittaya Chantamas, Johnnie W. Baker, Michael Scherger |
An Extension of the ASC Language Compiler to Support Multiple Instruction Streams in the MASC Model using the Manager-Worker Paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications & Conference on Real-Time Computing Systems and Applications, PDPTA 2006, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1, pp. 521-527, 2006, CSREA Press, 1-932415-86-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
|
12 | Pohua P. Chang, Scott A. Mahlke, William Y. Chen, Nancy J. Warter, Wen-mei W. Hwu |
IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
25 Years ISCA: Retrospectives and Reprints ![In: 25 Years of the International Symposia on Computer Architecture (Selected Papers)., pp. 408-417, 1998, ACM, 1-58113-058-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Wen-mei W. Hwu |
Retrospective: IMPACT: An Architectural Framework for Multiple-Instruction Issue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
25 Years ISCA: Retrospectives and Reprints ![In: 25 Years of the International Symposia on Computer Architecture (Selected Papers)., pp. 77-79, 1998, ACM, 1-58113-058-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Simon A. Trainis |
Modelling the hardware cost of full register bypassing in a multiple instruction issue processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 43(1-5), pp. 39-46, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP BibTeX RDF |
|
12 | Darrell R. Ulm, Johnnie W. Baker |
Virtual Parallelism by Self Simulation of the multiple Instruction Stream Associate Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDPTA ![In: Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, PDPTA 1996, August 9-11, 1996, Sunnyvale, California, USA, pp. 1420-1430, 1996, CSREA Press, 0-9648666-4-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
|
12 | Chung-Chi Jim Li, Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu |
Compiler-Based Multiple Instruction Retry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(1), pp. 35-46, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
compilers, fault-tolerant computing, rollback recovery, instruction retry |
12 | Neal J. Alewine, Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu |
Compiler-Assisted Multiple Instruction Rollback Recovery Using a Read Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(9), pp. 1096-1107, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Fault-tolerance, compilers, error recovery, instruction retry |
12 | Christine Eisenbeis, Franco Gasperoni, Uwe Schwiegelshohn |
Allocating registers in multiple instruction-issuing processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, PACT '95, Limassol, Cyprus, June 27-29, 1995, pp. 290-293, 1995, IFIP Working Group on Algol / ACM. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
12 | Yamin Li, Wanming Chu |
Design and Implementation of a Multiple-Instruction-Stream. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel and Distributed Computing and Systems ![In: Proceedings of the Seventh IASTED/ISMM International Conference on Parallel and Distributed Computing and Systems, Washington, D.C., USA, October 19-21, 1995, pp. 477-480, 1995, IASTED/ACTA Press. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP BibTeX RDF |
|
12 | Shlomo Weiss |
Implementing Register Interlocks in Parallel-Pipeline Multiple Instruction Queue, Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture (HPCA 1995), Raleigh, North Carolina, USA, January 22-25, 1995, pp. 14-21, 1995, IEEE Computer Society, 0-8186-6445-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
12 | Gary S. Tyson, Matthew K. Farrens |
Code scheduling for multiple instruction stream architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 22(3), pp. 243-272, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Shyh-Kwei Chen, Neal J. Alewine, W. Kent Fuchs, Wen-mei W. Hwu |
Incremental Compiler Transformations for Multiple Instruction Retry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Pract. Exp. ![In: Softw. Pract. Exp. 24(12), pp. 1179-1198, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Rod Adams, Sue M. Gray, Gordon B. Steven |
Harp: A Statically Scheduled Multiple-instruction Issue Architecture And Its Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, PDP 1994, January 26-28, 1994, Malaga, Spain, pp. 76-81, 1994, IEEE, 0-8186-5370-1. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Manoj Franklin, Mark Smotherman |
A fill-unit approach to multiple instruction issue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30 - December 2, 1994, pp. 162-171, 1994, ACM / IEEE Computer Society, 0-89791-707-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
multiple operation issue, instruction-level parallelism, VLIW, superscalar |
12 | Mayan Moudgill |
Implementing and Exploiting Static Speculation on Multiple Instruction Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1994 |
RDF |
|
12 | Soo-Mook Moon, Kemal Ebcioglu |
A study on the number of memory ports in multiple instruction issue machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 49-59, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
memory ports, speculative loads, ILP, static scheduling, memory disambiguation |
12 | Neal J. Alewine |
Compiler-Assisted Multiple Instruction Rollback Recovery Using a Read Buffer ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1993 |
RDF |
|
12 | Neal J. Alewine, Shyh-Kwei Chen, Chung-Chi Jim Li, W. Kent Fuchs, Wen-mei W. Hwu |
Branch Recovery with Compiler-Assisted Multiple Instruction Retry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-22, The Twenty-Second Annual International Symposium on Fault-Tolerant Computing, Boston, Massachusetts, USA, July 8-10, 1992, pp. 66-73, 1992, IEEE Computer Society, 0-8186-2875-8. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
12 | Gary S. Tyson, Matthew K. Farrens, Andrew R. Pleszkun |
MISC: a Multiple Instruction Stream Computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992, pp. 193-196, 1992, ACM / IEEE Computer Society, 0-8186-3175-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
12 | Paul Spee, Weng-Fai Wong, Eiichi Goto |
Effects of Multiple Instruction Stream Execution on Cache Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Speed Comput. ![In: Int. J. High Speed Comput. 3(2), pp. 135-155, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Masahiro Sowa, Takaya Arita, Tadaaki Kawamura, Hiromitsu Takagi |
Parallel execution on the function-partitioned processor with multiple instruction streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Syst. Comput. Jpn. ![In: Syst. Comput. Jpn. 22(4), pp. 22-28, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Pohua P. Chang, William Y. Chen, Scott A. Mahlke, Wen-mei W. Hwu |
Comparing Static and Dynamic Code Scheduling for Multiple-Instruction-Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 24th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 24, Albuquerque, New Mexico, USA, November 18-20, 1991, pp. 25-33, 1991, ACM/IEEE, 0-89791-460-0. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | George E. Daddis Jr., Hwa C. Torng |
The Concurrent Execution of Multiple Instruction Streams on Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP (1) ![In: Proceedings of the International Conference on Parallel Processing, ICPP '91, Austin, Texas, USA, August 1991. Volume I: Architecture/Hardware., pp. 76-83, 1991, CRC Press. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
12 | Pohua P. Chang, Scott A. Mahlke, William Y. Chen, Nancy J. Warter, Wen-mei W. Hwu |
IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, Canada, May, 27-30 1991, pp. 266-275, 1991, ACM, 0-89791-394-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Po-hua Chang |
Compiler support for multiple-instruction-issue architectures ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1991 |
RDF |
|
12 | Robert W. Horst, Richard L. Harris, Robert L. Jardine |
Multiple Instruction Issue in the NonStop Cyclone Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, USA, June 1990, pp. 216-226, 1990, ACM, 0-89791-366-3. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
12 | Michael D. Smith 0001, Mike Johnson, Mark Horowitz |
Limits on Multiple Instruction Issue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-III Proceedings - Third International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, April 3-6, 1989., pp. 290-302, 1989, ACM Press, 0-89791-300-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
12 | William Joseph Kaminsky Jr., Edward S. Davidson |
Special Feature: Developing a Multiple-Instruction-Stream Single-Chip Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 12(12), pp. 66-76, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
|
12 | Joel S. Emer |
Shared Resources for Multiple Instruction Stream Pipelined Processors ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1979 |
RDF |
|
12 | William Joseph Kaminsky Jr. |
Architecture for Multiple Instruction Stream Lsi Processors ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1977 |
RDF |
|
12 | Kevin O'Brien, Kathryn M. O'Brien, Zehra Sura, Tong Chen 0001, Tao Zhang |
Supporting OpenMP on Cell. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOMP ![In: A Practical Programming Model for the Multi-Core Era, 3rd International Workshop on OpenMP, IWOMP 2007, Beijing, China, June 3-7, 2007, Proceedings, pp. 65-76, 2007, Springer, 978-3-540-69302-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Olaf René Birkeland, O. Snøve, Arne Halaas, Magnar Nedland, Pål Sætrom |
The Petacomp Machine: A MIMD Cluster for Parallel Pattern-mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: Proceedings of the 2006 IEEE International Conference on Cluster Computing, September 25-28, 2006, Barcelona, Spain, 2006, IEEE Computer Society, 1-4244-0328-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Robert Allen, Luigi Cinque, Steven L. Tanimoto, Linda G. Shapiro, Dean Yasuda |
A Parallel Algorithm for Graph Matching and Its MasPar Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(5), pp. 490-501, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
MasPar, combinatorial explosion, forward checking, parallel algorithm, load balancing, search, Graph, matching, branch-and-bound, SIMD |
11 | Darrell R. Ulm, Johnnie W. Baker, Michael C. Scherger |
Solving a 2D Knapsack Problem Using a Hybrid Data-Parallel/Control Style of Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
11 | Dennis E. Shasha, Marc Snir |
Efficient and Correct Execution of Parallel Programs that Share Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 10(2), pp. 282-312, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
9 | Bernhard Fechner |
A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARELEC ![In: Fifth International Conference on Parallel Computing in Electrical Engineering (PARELEC 2006), 13-17 September 2006, Bialystok, Poland, pp. 31-36, 2006, IEEE Computer Society, 0-7695-2554-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Won Woo Ro, Jean-Luc Gaudiot |
A Low-Complexity Issue Queue Design with Speculative Pre-execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2005, 12th International Conference, Goa, India, December 18-21, 2005, Proceedings, pp. 353-362, 2005, Springer, 3-540-30936-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | C. John Glossner, Stamatis Vassiliadis |
Delft-Java Dynamic Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1057-1062, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
9 | Guang R. Gao, Herbert H. J. Hum, Jean-Marc Monti |
Towards an Efficient Hybrid Dataflow Architecture Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARLE (1) ![In: PARLE '91: Parallel Architectures and Languages Europe, Volume I: Parallel Architectures and Algorithms, Eindhoven, The Netherlands, June 10-13, 1991, Proceedings, pp. 355-371, 1991, Springer, 3-540-54151-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
9 | David A. Carlson, John M. Conroy |
The fast fourier transform and sparse matrix computations: a study of two applications on teh HORIZON supercomputer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, pp. 55-58, 1988, IEEE Computer Society, 0-8186-0882-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
9 | Edward E. E. Frietman, Ramon J. Ernst, Roy E. Crosbie, Masao Shimoji |
Prospects for Optical Interconnects in Distributed, Shared-Memory Organized MIMD Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 14(2), pp. 107-128, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
free space data distributing system, fully connected topology, multi-stage interconnection scheme, opto electronic logic elements, photonic integrated circuits, distributed-shared memory systems |
9 | Renbing Xiong, Theodore Brown |
Parallel Median Splitting and k-Splitting with Application to Merging and Sorting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(5), pp. 559-565, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
k-splitting, median splitting, parallel splitting, parallel algorithms, sorting, sorting, merging |
8 | Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke |
Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 25-36, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
8 | Arne Halaas, Børge Svingen, Magnar Nedland, Pål Sætrom, Ola R. Snøve Jr., Olaf René Birkeland |
A recursive MISD architecture for pattern matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 12(7), pp. 727-734, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
8 | David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy |
A Method for Register Allocation to Loops in Multiple Register File Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 28-33, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
8 | Mitsuo Ishii, Hiroyuki Sato, Morio Ikesaka, Kouichi Murakami, Hiroaki Ishihata |
Cellular array processor CAP and applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 1(1), pp. 57-67, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
7 | Gareth E. Evans, Jonathan M. Keith, Dirk P. Kroese |
Parallel cross-entropy optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference, WSC 2007, Washington, DC, USA, December 9-12, 2007, pp. 2196-2202, 2007, WSC, 1-4244-1306-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
7 | Thomas M. Keane, Richard Allen, Thomas J. Naughton, James O. McInerney, John Waldron |
Distributed computing for DNA analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ/IRE ![In: Proceedings of the naugural International Symposium on Principles and Practice of Programming in Java, PPPJ 2002, and Proceedings of the second workshop on Intermediate representation engineering for virtual machines, Dublin, Ireland, June 13-14, 2002, pp. 65-70, 2002, ACM, 0-901519-87-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Java |
7 | Thomas M. Keane, Richard Allen, Thomas J. Naughton, James O. McInerney, John Waldron |
Distributed Java Platform with Programmable MIMD Capabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIDJI ![In: Scientific Engineering for Distributed Java Applications, International Workshop, FIDJI 2002, Luxembourg-Kirchberg, Luxembourg, November 28-29, 2002, Revised Papers, pp. 122-131, 2002, Springer, 3-540-00679-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
7 | Klaus Herrmann 0002, Jan Otterstedt, Hartwig Jeschke, M. Kuboschek |
A MIMD-based video signal processing architecture suitable for large area integration and a 16.6-cm2 monolithic implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 6(2), pp. 284-291, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | James K. Ho, R. P. Sundarraj 0001 |
Distributed Nested Decomposition of Staircase Linear Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 23(2), pp. 148-173, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
computational linear programming, distributed computation |
Displaying result #1 - #100 of 117 (100 per page; Change: ) Pages: [ 1][ 2][ >>] |
|