The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase multiple-instruction (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1988 (15) 1989-1991 (18) 1992-1994 (18) 1995-1997 (15) 1998-2002 (19) 2003-2006 (18) 2007-2024 (14)
Publication types (Num. hits)
article(36) incollection(2) inproceedings(72) phdthesis(7)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 156 occurrences of 111 keywords

Results
Found 117 publication records. Showing 117 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
53Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
52W. Lynn Gallagher, Chuan-lin Wu Evaluation of a memory hierarchy for the MTS multithreaded processor. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory hierarchy evaluation, MTS multithreaded processor, hardware resource utilization, instruction throughput, multithreaded superscalar processor, multiple instruction streams, multiple functional unit architecture, parameter-driven simulator, SES/workbench, numerical benchmarks, memory system configurations, main memory latency, cache hit rates, realistic multilevel cache hierarchy, parallel processing, VLIW, superscalar processor, instruction cache
49Michael Scherger, Johnnie W. Baker, Jerry L. Potter Multiple Instruction Stream Control for an Associative Model of Parallel Computation. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Multiple instruction streams, associative computing, parallel processing, system software
41Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures
32Juan E. Gilbert, Chia Y. Han 0001 Researching Adaptive Instruction. Search on Bibsonomy AH The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Mitsuhisa Sato, Shuichi Ichikawa, Eiichi Goto Multiple instruction streams in a highly pipelined processor. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
30Shyh-Kwei Chen, W. Kent Fuchs Compiler-Assisted Multiple Instruction Word Retry for VLIW Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compilers, Fault-tolerant computing, instruction level parallelism, VLIW architectures, instruction retry
30Hongtao Zhong, Kevin Fan, Scott A. Mahlke, Michael S. Schlansker A Distributed Control Path Architecture for VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Sreeram Duvvuru, Siamak Arya Evaluation of a branch target address cache. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes
27Sanjay Ranka, Sartaj Sahni Clustering on a Hypercube Multicomputer. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF square error, clustering problem, NMK processors, multiple-data, parallel algorithms, computational complexity, hypercube networks, single-instruction multiple-data, SIMD, MIMD, hypercube multicomputer, multiple-instruction
27Mark R. Thistle, Burton J. Smith A processor architecture for horizon. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Andrew R. Pleszkun, Gurindar S. Sohi Multiple instruction issue and single-chip processors. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF
24Charles C. Weems, Christopher M. Brown, Jon A. Webb, Tomaso A. Poggio, John R. Kender Parallel Processing in the DARPA Strategic Computing Vision Program. Search on Bibsonomy IEEE Expert The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Matthew T. O'Keefe, Henry G. Dietz Loop Coalescing and Scheduling for Barrier MIMD Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF barrier MIMD, multiple instruction stream, multiple datastream, loop coalescing, nested loop structures, compiler parallelization, static barrierMIMD, scheduling, scheduling, parallel programming, parallel architectures, compiler optimization, program compilers, asynchronous, loop transformations, barrier synchronization, linear scheduling
22Paolo Cremonesi, Claudio Gennaro Integrated Performance Models for SPMD Applications and MIMD Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fork-join queues, mean value analysis (MVA), speedup surface, performance model, parallel I/O, multiple instruction multiple data (MIMD), Single program multiple data (SPMD), synchronization overhead, queuing network model
22Paolo Cremonesi, Claudio Gennaro Integrated Performance Models for SPMD Applications and MIMD Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fork-join queues, mean value analysis (MVA), speedup surface, performance model, parallel I/O, multiple instruction multiple data (MIMD), Single program multiple data (SPMD), synchronization overhead, queuing network model
22C. V. Ramakrishnan, S. Ramesh Kumar Comparative Performance of Frontal (Direct) and PCG (Iterative) Solver Based Parallel Computations of Finite Element Analysis. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Finite Element Analysis (FEA), Symmetric Multi-processor (SMP), Preconditioned Conjugate Gradient (PCG), degrees of freedom (dof), maximum bandwidth (mbwd), maximum frontwidth (mfwd), Number of processors (Numprocs), iterations (iter), Message Passing Interface (MPI), Single Instruction Multiple Data (SIMD), Multiple Instruction Multiple Data (MIMD)
22Weijia Shang, José A. B. Fortes Independent Partitioning of Algorithms with Uniform Dependencies. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF uniform dependence algorithms, index sets, maximal independent partitions, optimality, parallel algorithms, computational complexity, computational complexity, lower bounds, upper bounds, multiple instruction multiple data, cardinality, MIMD machines
22Richard G. Cooper The Distributed Pipeline. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Array of computers, distributed pipeline (DP), multiple-instruction multiple-data-stream (MIMD) computer, distributed computer, multiprocessor, pipeline, computer network, computer architecture, microprocessor, microcomputer
21Sue M. Gray, Rod Adams, G. J. Green, Gordon B. Steven Static instruction scheduling for the HARP multiple-instruction-issue architecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Liang Wang 0016 Instruction scheduling for a family of multiple instruction issue architectures. Search on Bibsonomy 1993   RDF
21James Phillips, Stamatis Vassiliadis High-Performance 3-1 Interlock Collapsing ALU's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF 3-1 interlock collapsing ALU, execution interlocks, multiple instruction issuing machines, parallel architectures, delay, digital arithmetic, CMOS technology, critical path, reduced instruction set computing, Boolean equations
19Stephen Hines, Gary S. Tyson, David B. Whalley Reducing Instruction Fetch Cost by Packing Instructions into RegisterWindows. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
19Montserrat Ros, Peter Sutton Compiler optimization and ordering effects on VLIW code compression. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compiler optimizations, VLIW, code compression
18Jaume Abella 0001, Antonio González 0001 SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Richard A. Hankins, Gautham N. Chinya, Jamison D. Collins, Perry H. Wang, Ryan N. Rakvic, Hong Wang 0003, John Paul Shen Multiple Instruction Stream Processor. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Pradeep Rao, S. K. Nandy 0001, M. N. V. Satya Kiran Simultaneous MultiStreaming for Complexity-Effective VLIW Architectures. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith Exploring Hypermedia Processor Design Space. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hypermedia processor, synthesis framework, instruction-level parallelism, workload characterization
18Parthasarathy Ranganathan, Kourosh Gharachorloo, Sarita V. Adve, Luiz André Barroso Performance of Database Workloads on Shared-Memory Systems with Out-of-Order Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yaglikçi, F. Nisa Bostanci, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Processing. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Geraldo F. Oliveira, Ataberk Olgun, Abdullah Giray Yaglikçi, F. Nisa Bostanci, Juan Gómez-Luna, Saugata Ghose, Onur Mutlu MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Esmaeil Amini, Zahra Jeddi, Ahmed K. F. Khattab, Magdy A. Bayoumi Performance Evaluation and Design Optimization for Flexible Multiple Instruction Multiple Data Elliptic Curve Cryptography Crypto Architecture. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Rolf Riesen, Arthur B. Maccabe MIMD (Multiple Instruction, Multiple Data) Machines. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Nick Bailey, Alan Purvis, Peter D. Manning, Ian Bowler, Durham Music Technology Some observations on hierarchical, multiple-instruction-multiple-data computers. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Martin R. Stytz Three-dimensional medical image analysis using local dynamic algorithm selection on a multiple-instruction, multiple-data architecture. Search on Bibsonomy 1989   RDF
16Charles H. Radoy, G. Jack Lipovski Switched Multiple Instruction, Multiple Data Stream Processing. Search on Bibsonomy ISCA The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
15Daniel R. Johnson, Matthew R. Johnson 0003, John H. Kelm, William Tuohy, Steven S. Lumetta, Sanjay J. Patel Rigel: A 1, 024-Core Single-Chip Accelerator Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Multiple data-stream architectures (multiprocessors), multiple data processors, single-chip multiprocessors, parallel architectures, multicore, parallel processors, multiple instruction
15Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea An alternative to branch prediction: pre-computed branches. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction
15Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
15Sanjeev Banerjia, Sumedh W. Sathaye, Kishore N. Menezes, Thomas M. Conte MPS: Miss-Path Scheduling for Multiple-Issue Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Multiple instruction issue, miss path scheduling, schedule cache, instruction level parallelism
15Ramón D. Acosta, Jacob Kjelstrup, Hwa C. Torng An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF processor performance enhancement, Dispatch stack, instruction issuing, instruction unit, multiple functional unit processors, multiple instruction dispatching, dynamic instruction scheduling
15James T. Kuehn, Burton J. Smith The horizon supercomputing system: architecture and software. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
13Perry H. Wang, Jamison D. Collins, Gautham N. Chinya, Bernard Lint, Asit Mallick, Koichi Yamada, Hong Wang 0003 Sequencer virtualization. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtualization, multi-cores, MIMD
13Austin Rogers, Milena Milenkovic, Aleksandar Milenkovic A low overhead hardware technique for software integrity and confidentiality. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Darrell R. Ulm, Michael Scherger Stream PRAM. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Xiaofang Wang, Sotirios G. Ziavras Performance-Energy Tradeoffs for Matrix Multiplication on FPGA-Based Mixed-Mode Chip Multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12 Multiple-Instruction Issue. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Hussein Karaki, Haitham Akkary Multiple instruction sets architecture (MISA). Search on Bibsonomy ICEAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Wittaya Chantamas, Johnnie W. Baker, Michael Scherger An Extension of the ASC Language Compiler to Support Multiple Instruction Streams in the MASC Model using the Manager-Worker Paradigm. Search on Bibsonomy PDPTA The full citation details ... 2006 DBLP  BibTeX  RDF
12Pohua P. Chang, Scott A. Mahlke, William Y. Chen, Nancy J. Warter, Wen-mei W. Hwu IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Wen-mei W. Hwu Retrospective: IMPACT: An Architectural Framework for Multiple-Instruction Issue. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Simon A. Trainis Modelling the hardware cost of full register bypassing in a multiple instruction issue processor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1997 DBLP  BibTeX  RDF
12Darrell R. Ulm, Johnnie W. Baker Virtual Parallelism by Self Simulation of the multiple Instruction Stream Associate Model. Search on Bibsonomy PDPTA The full citation details ... 1996 DBLP  BibTeX  RDF
12Chung-Chi Jim Li, Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu Compiler-Based Multiple Instruction Retry. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compilers, fault-tolerant computing, rollback recovery, instruction retry
12Neal J. Alewine, Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu Compiler-Assisted Multiple Instruction Rollback Recovery Using a Read Buffer. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fault-tolerance, compilers, error recovery, instruction retry
12Christine Eisenbeis, Franco Gasperoni, Uwe Schwiegelshohn Allocating registers in multiple instruction-issuing processors. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
12Yamin Li, Wanming Chu Design and Implementation of a Multiple-Instruction-Stream. Search on Bibsonomy Parallel and Distributed Computing and Systems The full citation details ... 1995 DBLP  BibTeX  RDF
12Shlomo Weiss Implementing Register Interlocks in Parallel-Pipeline Multiple Instruction Queue, Superscalar Processors. Search on Bibsonomy HPCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Gary S. Tyson, Matthew K. Farrens Code scheduling for multiple instruction stream architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Shyh-Kwei Chen, Neal J. Alewine, W. Kent Fuchs, Wen-mei W. Hwu Incremental Compiler Transformations for Multiple Instruction Retry. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Rod Adams, Sue M. Gray, Gordon B. Steven Harp: A Statically Scheduled Multiple-instruction Issue Architecture And Its Compiler. Search on Bibsonomy PDP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Manoj Franklin, Mark Smotherman A fill-unit approach to multiple instruction issue. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple operation issue, instruction-level parallelism, VLIW, superscalar
12Mayan Moudgill Implementing and Exploiting Static Speculation on Multiple Instruction Issue Processors. Search on Bibsonomy 1994   RDF
12Soo-Mook Moon, Kemal Ebcioglu A study on the number of memory ports in multiple instruction issue machines. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF memory ports, speculative loads, ILP, static scheduling, memory disambiguation
12Neal J. Alewine Compiler-Assisted Multiple Instruction Rollback Recovery Using a Read Buffer Search on Bibsonomy 1993   RDF
12Neal J. Alewine, Shyh-Kwei Chen, Chung-Chi Jim Li, W. Kent Fuchs, Wen-mei W. Hwu Branch Recovery with Compiler-Assisted Multiple Instruction Retry. Search on Bibsonomy FTCS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Gary S. Tyson, Matthew K. Farrens, Andrew R. Pleszkun MISC: a Multiple Instruction Stream Computer. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Paul Spee, Weng-Fai Wong, Eiichi Goto Effects of Multiple Instruction Stream Execution on Cache Performance. Search on Bibsonomy Int. J. High Speed Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Masahiro Sowa, Takaya Arita, Tadaaki Kawamura, Hiromitsu Takagi Parallel execution on the function-partitioned processor with multiple instruction streams. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Pohua P. Chang, William Y. Chen, Scott A. Mahlke, Wen-mei W. Hwu Comparing Static and Dynamic Code Scheduling for Multiple-Instruction-Issue Processors. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12George E. Daddis Jr., Hwa C. Torng The Concurrent Execution of Multiple Instruction Streams on Superscalar Processors. Search on Bibsonomy ICPP (1) The full citation details ... 1991 DBLP  BibTeX  RDF
12Pohua P. Chang, Scott A. Mahlke, William Y. Chen, Nancy J. Warter, Wen-mei W. Hwu IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors. Search on Bibsonomy ISCA The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Po-hua Chang Compiler support for multiple-instruction-issue architectures Search on Bibsonomy 1991   RDF
12Robert W. Horst, Richard L. Harris, Robert L. Jardine Multiple Instruction Issue in the NonStop Cyclone Processor. Search on Bibsonomy ISCA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Michael D. Smith 0001, Mike Johnson, Mark Horowitz Limits on Multiple Instruction Issue. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12William Joseph Kaminsky Jr., Edward S. Davidson Special Feature: Developing a Multiple-Instruction-Stream Single-Chip Processor. Search on Bibsonomy Computer The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
12Joel S. Emer Shared Resources for Multiple Instruction Stream Pipelined Processors Search on Bibsonomy 1979   RDF
12William Joseph Kaminsky Jr. Architecture for Multiple Instruction Stream Lsi Processors Search on Bibsonomy 1977   RDF
12Kevin O'Brien, Kathryn M. O'Brien, Zehra Sura, Tong Chen 0001, Tao Zhang Supporting OpenMP on Cell. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Olaf René Birkeland, O. Snøve, Arne Halaas, Magnar Nedland, Pål Sætrom The Petacomp Machine: A MIMD Cluster for Parallel Pattern-mining. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Robert Allen, Luigi Cinque, Steven L. Tanimoto, Linda G. Shapiro, Dean Yasuda A Parallel Algorithm for Graph Matching and Its MasPar Implementation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MasPar, combinatorial explosion, forward checking, parallel algorithm, load balancing, search, Graph, matching, branch-and-bound, SIMD
11Darrell R. Ulm, Johnnie W. Baker, Michael C. Scherger Solving a 2D Knapsack Problem Using a Hybrid Data-Parallel/Control Style of Computing. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Dennis E. Shasha, Marc Snir Efficient and Correct Execution of Parallel Programs that Share Memory. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
9Bernhard Fechner A Fault-Tolerant Dynamic Fetch Policy for SMT Processors in Multi-Bus Environments. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Won Woo Ro, Jean-Luc Gaudiot A Low-Complexity Issue Queue Design with Speculative Pre-execution. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9C. John Glossner, Stamatis Vassiliadis Delft-Java Dynamic Translation. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Guang R. Gao, Herbert H. J. Hum, Jean-Marc Monti Towards an Efficient Hybrid Dataflow Architecture Model. Search on Bibsonomy PARLE (1) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
9David A. Carlson, John M. Conroy The fast fourier transform and sparse matrix computations: a study of two applications on teh HORIZON supercomputer. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
9Edward E. E. Frietman, Ramon J. Ernst, Roy E. Crosbie, Masao Shimoji Prospects for Optical Interconnects in Distributed, Shared-Memory Organized MIMD Architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF free space data distributing system, fully connected topology, multi-stage interconnection scheme, opto electronic logic elements, photonic integrated circuits, distributed-shared memory systems
9Renbing Xiong, Theodore Brown Parallel Median Splitting and k-Splitting with Application to Merging and Sorting. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF k-splitting, median splitting, parallel splitting, parallel algorithms, sorting, sorting, merging
8Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Arne Halaas, Børge Svingen, Magnar Nedland, Pål Sætrom, Ola R. Snøve Jr., Olaf René Birkeland A recursive MISD architecture for pattern matching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy A Method for Register Allocation to Loops in Multiple Register File Architectures. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Mitsuo Ishii, Hiroyuki Sato, Morio Ikesaka, Kouichi Murakami, Hiroaki Ishihata Cellular array processor CAP and applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
7Gareth E. Evans, Jonathan M. Keith, Dirk P. Kroese Parallel cross-entropy optimization. Search on Bibsonomy WSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Thomas M. Keane, Richard Allen, Thomas J. Naughton, James O. McInerney, John Waldron Distributed computing for DNA analysis. Search on Bibsonomy PPPJ/IRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java
7Thomas M. Keane, Richard Allen, Thomas J. Naughton, James O. McInerney, John Waldron Distributed Java Platform with Programmable MIMD Capabilities. Search on Bibsonomy FIDJI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
7Klaus Herrmann 0002, Jan Otterstedt, Hartwig Jeschke, M. Kuboschek A MIMD-based video signal processing architecture suitable for large area integration and a 16.6-cm2 monolithic implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
7James K. Ho, R. P. Sundarraj 0001 Distributed Nested Decomposition of Staircase Linear Programs. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF computational linear programming, distributed computation
Displaying result #1 - #100 of 117 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license