The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase pre-silicon (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1999-2004 (18) 2005-2007 (16) 2008-2009 (18) 2010-2012 (15) 2013-2017 (16) 2018-2019 (15) 2020-2022 (24) 2023-2024 (11)
Publication types (Num. hits)
article(26) inproceedings(103) phdthesis(4)
Venues (Conferences, Journals, ...)
DAC(16) CoRR(6) MTV(6) DATE(5) ISCA(5) J. Electron. Test.(4) VLSI Design(4) ACM Great Lakes Symposium on V...(3) ASP-DAC(3) HOST(3) ICCAD(3) ICCD(3) CICC(2) DSD(2) FMCAD(2) ICECS(2) More (+10 of total 73)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 47 occurrences of 39 keywords

Results
Found 133 publication records. Showing 133 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
91Amir Nahir, Avi Ziv, Rajesh Galivanche, Alan J. Hu, Miron Abramovici, Albert Camilleri, Bob Bentley, Harry Foster, Valeria Bertacco, Shakti Kapoor Bridging pre-silicon verification and post-silicon validation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon, pre-silicon, verification, validation
70Sandip Ray, Warren A. Hunt Jr. Connecting pre-silicon and post-silicon verification. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
54Jagannath Keshava, Nagib Hakim, Chinna Prudvi Post-silicon validation challenges: how EDA and academia can help. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design, verification, test, validation, emulation
52Nathaniel J. August A Robust and Efficient Pre-Silicon Validation Environment for Mixed-Signal Circuits on Intel's Test Chips. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pre-silicon, validation, mixed-signal
44Leonard Lee, Li-C. Wang, Praveen Parvathala, T. M. Mak On Silicon-Based Speed Path Identification. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Leonard Lee, Li-C. Wang, T. M. Mak, Kwang-Ting Cheng A path-based methodology for post-silicon timing validation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Pradip Bose Pre-Silicon Modeling and Analysis: Impact On Real Design. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Pre-silicon modeling, performance modeling, CMOS
39Robert P. Colwell, Bob Brennan Intel's Formal Verification Experience on the Willamette Development. Search on Bibsonomy TPHOLs The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici Post-silicon validation opportunities, challenges and recent advances. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon validation
38Miron Abramovici In-System Silicon Validation and Debug. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Ilya Wagner, Valeria Bertacco Reversi: Post-silicon validation system for modern microprocessors. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Pradip Bose, Alper Buyuktosunoglu, Chen-Yong Cher, John A. Darringer, Meeta Sharma Gupta, Hendrik F. Hamann, Hans M. Jacobson, Prabhakar Kudva, Eren Kursun, Niti Madan, Indira Nair, Jude A. Rivers, Jeonghee Shin, Alan J. Weger, Victor V. Zyuban Power-efficient, reliable microprocessor architectures: modeling and design methods. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power-efficient design, pre-silicon modeling, reliable operation
34Soohong P. Kim Pre-Silicon Validation of IPF Memory Ordering for Multi-Core Processors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Pradip Bose Ensuring Dependable Processor Performance: An Experience Report on Pre-Silicon Performance Validation. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Lin Xie, Azadeh Davoodi, Kewal K. Saluja Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF post-silicon diagnosis, process variations
31Prashant Agrawal, Srinivasa R. S. T. G, Ajit N. Oke, Saurabh Vijay An Approach for Pre-Silicon Power Modeling. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Eli Chiprout On-die power grids: the missing link. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decap, voltage, locality, power grid, resonance
30Mahesh Ketkar, Eli Chiprout A microarchitecture-based framework for pre- and post-silicon power delivery analysis. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Saraju P. Mohanty Unified Challenges in Nano-CMOS High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Vijay Degalahal, Tim Tuan Methodology for high level estimation of FPGA power consumption. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Andrew DeOrio, Adam Bauserman, Valeria Bertacco Post-silicon verification for cache coherence. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Anala, S. Gayathri, Ramesh Ramaswamy, Chetan Waghmare An Approach to Mathematically Correlate Timing of Transaction Activity Between Pre-silicon and Post-silicon Environment. Search on Bibsonomy SN Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
25Cheng Zhuo, Bei Yu 0001, Di Gao Accelerating chip design with machine learning: From pre-silicon to post-silicon. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
25Eshan Singh, David Lin, Clark W. Barrett, Subhasish Mitra Symbolic Quick Error Detection for Pre-Silicon and Post-Silicon Validation: Frequently Asked Questions. Search on Bibsonomy IEEE Des. Test The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Fa Wang Efficient Pre-Silicon Validation and Post-Silicon Tuning of Self-Healing Analog/RF Integrated Circuits. Search on Bibsonomy 2015   DOI  RDF
25Xin Li 0001, Fa Wang, Shupeng Sun, Chenjie Gu Bayesian model fusion: a statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Prasanjeet Das, Sandeep K. Gupta 0001 Extending pre-silicon delay models for post-silicon tasks: Validation, diagnosis, delay testing, and speed binning. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
25Mehdi Dehbashi Debug automation from pre-silicon to post-silicon. Search on Bibsonomy 2013   RDF
25Mehdi Dehbashi, Görschwin Fey Automated debugging from pre-silicon to post-silicon. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
25Allon Adir, Shady Copty, Shimon Landa, Amir Nahir, Gil Shurek, Avi Ziv, Charles Meissner, John Schumann A unified methodology for pre-silicon verification and post-silicon validation. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Allon Adir, Amir Nahir, Gil Shurek, Avi Ziv, Charles Meissner, John Schumann Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Gary Miller, Bandana Bhattarai, Yu-Chin Hsu, Jay Dutt, Xi Chen 0024, George Bakewell A method to leverage pre-silicon collateral and analysis for post-silicon testing and validation. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Sofiane Takarabt Pre-silicon evaluation of secured circuit against side-channel attacks. (Évaluation pré-silicium de circuits sécurisés face aux attaques par canal auxiliaire). Search on Bibsonomy 2021   RDF
22Pradip Bose Testing for Function and Performance: Towards an Integrated Processor Validation Methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance test cases, bounds modeling, performance validation, integrated methodology, test generation, microprocessor testing
21Walid Ibrahim A Novel EDA Tool for VLSI Test Vectors Management. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test vectors selection, Genetic algorithms, Verification, VLSI, EDA tools
21K. Uday Bhaskar, M. Prasanth, V. Kamakoti 0001, Kailasnath Maneparambil A Framework for Automatic Assembly Program Generator (A2PG) for Verification and Testing of Processor Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21K. Uday Bhaskar, M. Prasanth, G. Chandramouli, V. Kamakoti 0001 A Universal Random Test Generator for Functional Verification of Microprocessors and System-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Kaiyu Chen, Sharad Malik, Priyadarsan Patra Runtime validation of memory ordering using constraint graph checking. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Elias Perdomo, Alexander Kropotov, Francelly Cano, Syed Zafar, Teresa Cervero, Xavier Martorell, Behzad Salami 0001 Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Yanbin Li, Jiajie Zhu, Zhe Liu 0001, Ming Tang 0002, Shougang Ren Deep Learning Gradient Visualization-Based Pre-Silicon Side-Channel Leakage Location. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Elias Perdomo, Alexander Kropotov, Francelly Katherine Cano Ladino, Syed Zafar, Teresa Cervero, Xavier Martorell Bofill, Behzad Salami 0001 Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs. Search on Bibsonomy RAPIDO@HiPEAC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Farimah Farahmandi, Ankur Srivastava 0001, Giorgio Di Natale, Mark M. Tehranipoor Introduction to the Special Issue on CAD for Security: Pre-silicon Security Sign-off Solutions Through Design Cycle. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Haocheng Ma, Max Panoff, Jiaji He, Yiqiang Zhao, Yier Jin EMSim: A Fast Layout Level Electromagnetic Emanation Simulation Framework for High Accuracy Pre-Silicon Verification. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Dillibabu Shanmugam, Patrick Schaumont Improving Side-channel Leakage Assessment Using Pre-silicon Leakage Models. Search on Bibsonomy COSADE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Abdullah Aljuffri, Mudit Saxena, Cezar Reinbrecht, Said Hamdioui, Mottaqiallah Taouil A Pre-Silicon Power Leakage Assessment Based on Generative Adversarial Networks. Search on Bibsonomy DSD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Moein Ghaniyoun, Kristin Barber, Yuan Xiao 0001, Yinqian Zhang, Radu Teodorescu TEESec: Pre-Silicon Vulnerability Discovery for Trusted Execution Environments. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Dima Nikiforov, Shengjun Chris Dong, Chengyi Lux Zhang, Seah Kim, Borivoje Nikolic, Yakun Sophia Shao RoSÉ: A Hardware-Software Co-Simulation Infrastructure Enabling Pre-Silicon Full-Stack Robotics SoC Evaluation. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jasper Van Woudenberg, Peter Grossmann, Avinash Varna 0001, Joseph Friel, Daniel Dinu, Ronnie Lindsay, Steve J. Brown Invited: Pre-silicon Side Channel and Fault Analysis. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Saranyu Chattopadhyay, Keerthikumara Devarajegowda, Bihan Zhao, Florian Lonsing, Brandon A. D'Agostino, Ioanna Vavelidou, Vijay Deep Bhatt, Sebastian Prebeck, Wolfgang Ecker, Caroline Trippel, Clark W. Barrett, Subhasish Mitra G-QED: Generalized QED Pre-silicon Verification beyond Non-Interfering Hardware Accelerators. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Kristin Barber, Moein Ghaniyoun, Yinqian Zhang, Radu Teodorescu A Pre-Silicon Approach to Discovering Microarchitectural Vulnerabilities in Security Critical Applications. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ashish Sharma 0005, Manoj Singh Gaur, Lava Bhargava, Vijay Laxmi, Manoj Gupta Pre-Silicon NBTI Delay-Aware Modeling of Network-on-Chip Router Microarchitecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Pantea Kiaei, Zhenyuan Liu, Patrick Schaumont Leverage the Average: Averaged Sampling in Pre-Silicon Side-Channel Leakage Assessment. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Pascal Nasahl, Miguel Osorio, Pirmin Vogel, Michael Schaffner, Timothy Trippel, Dominic Rizzo, Stefan Mangard SYNFI: Pre-Silicon Fault Analysis of an Open-Source Secure Element. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Pascal Nasahl, Miguel Osorio, Pirmin Vogel, Michael Schaffner, Timothy Trippel, Dominic Rizzo, Stefan Mangard SYNFI: Pre-Silicon Fault Analysis of an Open-Source Secure Element. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16A. V. Lakshmy, Chester Rebeiro, Swarup Bhunia FORTIFY: Analytical Pre-Silicon Side-Channel Characterization of Digital Designs. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Javad Bahrami, Mohammad Ebrahimabadi, Sofiane Takarabt, Jean-Luc Danger, Sylvain Guilley, Naghmeh Karimi On the Practicality of Relying on Simulations in Different Abstraction Levels for Pre-silicon Side-Channel Analysis. Search on Bibsonomy SECRYPT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Tessil Thomas, Bharath Venkatasubramanian, Dinesh Sthapit, Christopher Gray, Atresh Gummadavelly, Janick Bergeron, Pankaj Mehta, Prabu Thangamuthu Left-shifter: A pre-silicon framework for usage model based performance verification of the PCIe interface in server processor system on chips. Search on Bibsonomy ISPASS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Dmitry Utyamishev, Inna Partin-Vaisband Knowledge Graph Embedding and Visualization for Pre-Silicon Detection of Hardware Trojans. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Pantea Kiaei, Zhenyuan Liu, Patrick Schaumont Leverage the Average: Averaged Sampling in Pre-Silicon Side-Channel Leakage Assessment. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Nitin Pundir, Henian Li, Lang Lin, Norman Chang, Farimah Farahmandi, Mark M. Tehranipoor Security Properties Driven Pre-Silicon Laser Fault Injection Assessment. Search on Bibsonomy HOST The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Umer Farooq 0001, Habib Mehrez Pre-Silicon Verification Using Multi-FPGA Platforms: A Review. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Karthik Ganesan 0001, Florian Lonsing, Srinivasa Shashank Nuthakki, Eshan Singh, Mohammad Rahmani Fadiheh, Wolfgang Kunz, Dominik Stoffel, Clark W. Barrett, Subhasish Mitra Effective Pre-Silicon Verification of Processor Cores by Breaking the Bounds of Symbolic Quick Error Detection. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Yuan Yao, Tuna B. Tufan, Tarun Kathuria, Baris Ege, Ulkuhan Guler 0001, Patrick Schaumont Pre-silicon Architecture Correlation Analysis (PACA): Identifying and Mitigating the Source of Side-channel Leakage at Gate-level. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
16Víctor Fernández 0001, Carlos Abad, Ángel Álvarez, Íñigo Ugarte, Pablo Sánchez Pre-Silicon FEC Decoding Verification on SoC FPGAs. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Alexander Hepp, Georg Sigl Tapeout of a RISC-V crypto chip with hardware trojans: a case-study on trojan design and pre-silicon detectability. Search on Bibsonomy CF The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Yi Xu, Zhenyi Chen, Binhong Huang, Ximeng Liu, Chen Dong 0002 HTtext: A TextCNN-based pre-silicon detection for hardware Trojans. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Bikash Kumar Moharana, Anirudh S. Koushik, Kahkeshan Naz Top-Down analysis based performance failure bucketing for Pre-Silicon simulation. Search on Bibsonomy ICCCNT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Moein Ghaniyoun, Kristin Barber, Yinqian Zhang, Radu Teodorescu INTROSPECTRE: A Pre-Silicon Framework for Discovery and Analysis of Transient Execution Vulnerabilities. Search on Bibsonomy ISCA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Keerthikumara Devarajegowda Model-based Generation of Assertions for Pre-silicon Verification. Search on Bibsonomy 2021   RDF
16Yanbin Li, Ming Tang 0002, Yuguang Li, Huanguo Zhang A pre-silicon logic level security verification flow for higher-order masking schemes against glitches on FPGAs. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Fern Nee Tan, Jia Yun Chuah Pre-silicon Noise to Timing Test Methodology. Search on Bibsonomy ATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Rajat Sadhukhan, Paulson Mathew, Debapriya Basu Roy, Debdeep Mukhopadhyay Count Your Toggles: a New Leakage Model for Pre-Silicon Power Analysis of Crypto Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Eshan Singh, Keerthikumara Devarajegowda, Sebastian Simon, Ralf Schnieder, Karthik Ganesan 0001, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz, Clark W. Barrett, Wolfgang Ecker, Subhasish Mitra Symbolic QED Pre-silicon Verification for Automotive Microcontroller Cores: Industrial Case Study. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16 Boosting the Bounds of Symbolic QED for Effective Pre-Silicon Verification of Processor Cores. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Annachiara Ruospo, Ernesto Sánchez 0001 On the Detection of Always-On Hardware Trojans Supported by a Pre-Silicon Verification Methodology. Search on Bibsonomy MTV The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Sebastian Pointner, Oliver Frank, Christoph Hazott, Robert Wille Test Your Test Programs Pre-Silicon: A Virtual Test Methodology for Industrial Design Flows. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Eshan Singh, Keerthikumara Devarajegowda, Sebastian Simon, Ralf Schnieder, Karthik Ganesan 0001, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz, Clark W. Barrett, Wolfgang Ecker, Subhasish Mitra Symbolic QED Pre-silicon Verification for Automotive Microcontroller Cores: Industrial Case Study. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Elena-Diana Sandru, Emilian David, Georg Pelz Pre-Silicon Yield Estimation using Machine Learning Regression. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Xiaolong Guo, Raj Gautam Dutta, Jiaji He, Mark M. Tehranipoor, Yier Jin QIF-Verilog: Quantitative Information-Flow based Hardware Description Languages for Pre-Silicon Security Assessment. Search on Bibsonomy HOST The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Pratheema Mohandoss, Archana Rengaraj Pre-Silicon DFT Verification on SOC Slim Model. Search on Bibsonomy MTV The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Keerthikumara Devarajegowda, Wolfgang Ecker Meta-model Based Automation of Properties for Pre-Silicon Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Mohammad Rahmani Fadiheh, Joakim Urdahl, Srinivasa Shashank Nuthakki, Subhasish Mitra, Clark W. Barrett, Dominik Stoffel, Wolfgang Kunz Symbolic quick error detection using symbolic initial state for pre-silicon verification. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Ipsita Biswas Mahapatra, S. K. Nandy 0001 An Algorithm - Architecture Co-Designed System for Dynamic Execution-Driven Pre-Silicon Verification. Search on Bibsonomy ISED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sofiane Takarabt, Kais Chibani, Adrien Facon, Sylvain Guilley, Yves Mathieu, Laurent Sauvage, Youssef Souissi Pre-silicon Embedded System Evaluation as New EDA Tool for Security Verification. Search on Bibsonomy IVSW The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Jing Ye 0001, Yipei Yang, Yue Gong, Yu Hu 0001, Xiaowei Li 0001 Grey Zone in Pre-Silicon Hardware Trojan Detection. Search on Bibsonomy ITC-Asia The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Nicole Fern, Kwang-Ting (Tim) Cheng Pre-silicon Formal Verification of JTAG Instruction Opcodes for Security. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sebastian Simon, Jérôme Kirscher, Alexander W. Rath, Zhiqiang Zhang, Linus Maurer Pre-silicon Verification of an Automotive Battery Management System in the Context of the Application. Search on Bibsonomy MBMV The full citation details ... 2017 DBLP  BibTeX  RDF
16Senwen Kan, Matthew Lam, Tyler Porter, Jennifer Dworak A Case Study: Pre-Silicon SoC RAS Validation for NoC Server Processor. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Gabriel A. G. Andrade, Marleson Graf, Luiz C. V. dos Santos Chain-based pseudorandom tests for pre-silicon verification of CMP memory systems. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Xiaolong Guo, Raj Gautam Dutta, Yier Jin Hierarchy-Preserving Formal Verification Methods for Pre-silicon Security Assurance. Search on Bibsonomy MTV The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Amr Lotfy, Syed Feruz Syed Farooq, Qi S. Wang, Soner Yaldiz, Praveen Mosalikanti, Nasser A. Kurd A system-verilog behavioral model for PLLs for pre-silicon validation and top-down design methodology. Search on Bibsonomy CICC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiaolong Guo, Raj Gautam Dutta, Yier Jin, Farimah Farahmandi, Prabhat Mishra 0001 Pre-silicon security verification and validation: a formal perspective. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Qi Guo 0001, Tianshi Chen 0002, Yunji Chen, Rui Wang 0022, Huanhuan Chen, Weiwu Hu, Guoliang Chen 0001 Pre-Silicon Bug Forecast. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Parijat Mukherjee, Peng Li 0001 Leveraging pre-silicon data to diagnose out-of-specification failures in mixed-signal circuits. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Raghudeep Kannavara Towards a unified framework for pre-silicon validation. Search on Bibsonomy IISA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Olav P. Henschel, Luiz C. V. dos Santos Pre-silicon verification of multiprocessor SoCs: The case for on-the-fly coherence/consistency checking. Search on Bibsonomy ICECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Sergii Berdyshev, Vladimir Boykov, Yuri Gimpilevich, Yuri Iskiv, Gilad Keren, Denis Muratov, Igor Smirnov 0002, Valeriy Vertegel Methodology of the pre-silicon verification of the processor core. Search on Bibsonomy EWDTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Xu Guo 0001, Meeta Srivastav, Sinan Huang, Dinesh Ganta, Michael B. Henry, Leyla Nazhandali, Patrick Schaumont Pre-silicon Characterization of NIST SHA-3 Final Round Candidates. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Frederic Risacher, Kenneth J. Schultz Software agnostic approaches to explore pre-silicon system performance. Search on Bibsonomy HLDVT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Mainak Banga, Michael S. Hsiao Trusted RTL: Trojan Detection Methodology in Pre-silicon Designs. Search on Bibsonomy HOST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 133 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license