The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase processor-memory (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1969-1985 (15) 1986-1992 (17) 1993-1997 (17) 1998-2000 (22) 2001-2002 (20) 2003-2004 (26) 2005 (21) 2006 (18) 2007 (22) 2008-2009 (19) 2010-2016 (16) 2017-2023 (13)
Publication types (Num. hits)
article(55) inproceedings(166) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 242 occurrences of 204 keywords

Results
Found 226 publication records. Showing 226 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
57Prabhat Mishra 0001, Mahesh Mamidipaka, Nikil D. Dutt Processor-memory coexploration using an architecture description language. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Processor-memory codesign, memory exploration, design space exploration, architecture description language
47Minsu Choi, Nohpill Park, Fabrizio Lombardi Hardware-Software Co-Reliability in Field Reconfigurable Multi-Processor-Memory Systems. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multi-Processor-Memory System, Field Reconfiguration, HW/SW Co-reliability, Modular Redundancy
44Prabhat Mishra 0001, Peter Grun, Nikil D. Dutt, Alexandru Nicolau Processor-Memory Co-Exploration driven by a Memory-Aware Architecture Description Language. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Xi Chen 0068, Robert P. Dick, Alok N. Choudhary Operating System Controlled Processor-Memory Bus Encryption. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Matthew E. Tolentino, Joseph Turner, Kirk W. Cameron Memory-miser: a performance-constrained runtime system for power-scalable clusters. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF resource allocation, control, power management, memory
35Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA
35Ashley Saulsbury, Fong Pong, Andreas Nowatzyk Missing the Memory Wall: The Case for Processor/Memory Integration. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Sajal K. Das 0001, Sanjoy K. Sen Analysis of Memory Interference in Buffered Multiprocessor Systems in Presence of Hot Spots and Favorite Memories. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF buffered multiprocessor systems, discrete Markov chain model, processor-memory interconnections, hot memory, favorite memory, mean queue length, memory request, asymptotic bandwidth, performance evaluation, Markov processes, shared memory systems, upper bound, hot spots, simulation studies, memory interference, mean waiting time
27Cosmin E. Oancea, Alan Mycroft, Stephen M. Watt A new approach to parallelising tracing algorithms. Search on Bibsonomy ISMM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory-centric tracing algorithm, parallel
27Trishul M. Chilimbi, Mark D. Hill, James R. Larus Making Pointer-Based Data Structures Cache Conscious. Search on Bibsonomy Computer The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Gunnar Braun, Andreas Wieferink, Oliver Schliebusch, Rainer Leupers, Heinrich Meyr, Achim Nohl Processor/Memory Co-Exploration on Multiple Abstraction Levels. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Keun Soo Yim, Jang-Soo Lee, Jihong Kim 0001, Shin-Dug Kim, Kern Koh A Space-Efficient On-Chip Compressed Cache Organization for High Performance Computing. Search on Bibsonomy ISPA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor-memory performance gap, on-chip compressed cache, fine-grained management, internal fragmentation problem, Parallel processing
25Gian Luca Loi, Banit Agrawal, Navin Srivastava, Sheng-Chih Lin, Timothy Sherwood, Kaustav Banerjee A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF processor-memory, VLSI, performance modeling, three dimensional, 3D ICs, vertical integration, thermal analysis
24Xiaodong Li, Ritu Gupta, Sarita V. Adve, Yuanyuan Zhou Cross-component energy management: Joint adaptation of processor and memory. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, memory, adaptive systems, processor, Energy management, performance guarantee, control algorithms
24Nathan Fisher, James H. Anderson, Sanjoy K. Baruah Task Partitioning upon Memory-Constrained Multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Memory-constrained systems, Utilization-based schedulability tests, Multiprocessor systems, Partitioned scheduling
23Prasad Krishna Saravu Multi-processor Memory Scoreboard: A Multi-processor Memory Ordering and Data Consistency Checker. Search on Bibsonomy MTV The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Shuo-Hsien Hsiao, C. Y. Roger Chen Performance Evaluation of Circuit Switched Multistage Interconnection Networks Using a Hold Strategy. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF message size, circuit switched multistage interconnection networks, hold strategy, processor-memory communications, processor processing time, closed queuing network model, performance evaluation, performance evaluation, multiprocessor interconnection networks, queueing theory, multiprocessor systems, switching theory, memory access
21Zusong Li, Dandan Huan, Weiwu Hu, Zhimin Tang Chip Multithreaded Consistency Model. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Godson-2, computer architecture, multithreading, memory consistency model, event ordering
21Hans M. Mulder Data Buffering: Run-Time Versus Compile-Time Support. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Taskin Koçak, Jacob Engel Performance evaluation of wormhole routed network processor-memory interconnects. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ali El-Haj-Mahmoud, Eric Rotenberg Safely exploiting multithreaded processors to tolerate memory latency in real-time systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, multithreading, worst-case execution time, memory latency, schedulability test
20Per Stenström The Chip-Multiprocessing Paradigm Shift: Opportunities and Challenges. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Lory D. Molesky, Krithi Ramamritham Recovery Protocols for Shared Memory Database Systems. Search on Bibsonomy SIGMOD Conference The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Hans Eberle Analysis of processor-memory communication by the NS 32000 processor family. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
19I. K. Hetherington, P. Kusulas The 3B20D Processor & DMERT operating system: 3B20D Processor memory systems. Search on Bibsonomy Bell Syst. Tech. J. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
19Shankar Mahadevan, Michael Storgaard, Jan Madsen, Kashif Virk ARTS: A System-Level Framework for Modeling MPSoC Components and Analysis of their Causality. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Daniel Cociorva, Xiaoyang Gao, Sandhya Krishnan, Gerald Baumgartner, Chi-Chung Lam, P. Sadayappan, J. Ramanujam Global Communication Optimization for Tensor Contraction Expressions under Memory Constraints. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Daniel Cociorva, Gerald Baumgartner, Chi-Chung Lam, P. Sadayappan, J. Ramanujam Memory-Constrained Communication Minimization for a Class of Array Computations. Search on Bibsonomy LCPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Karl-Erwin Großpietsch, Tanya A. Silayeva A Combined Safety/Security Approach for Co-Operative Distributed Systems. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Nektarios Kranitis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Low-Cost Software-Based Self-Testing of RISC Processor Cores. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Rony Ghattas, Gregory S. Parsons, Alexander G. Dean Optimal Unified Data Allocation and Task Scheduling for Real-Time Multi-Tasking Systems. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17William Leinberger, George Karypis, Vipin Kumar 0001 Memory Management Techniques for Gang Scheduling. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Bruce R. Childers, Tarun Nakra Reordering Memory Bus Transactions for Reduced Power Consumption. Search on Bibsonomy LCTES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Minsu Choi, Noh-Jin Park, K. M. George, Byoungjae Jin, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi Fault Tolerant Memory Design for HW/SW Co-Reliability in Massively Parallel Computing Systems. Search on Bibsonomy NCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-in-self-repair (BISR), Field Reconfiguration, HW/SW Co-reliability, Reliability Assurance, Reliability, High performance computing, Yield, Massively parallel computing, Fault-tolerant memory, Modular Redundancy
16Sung I. Hong, Sally A. McKee, Maximo H. Salinas, Robert H. Klenke, James H. Aylor, William A. Wulf Access Order and Effective Bandwidth for Streams on a Direct Rambus Memory. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jaehyun Park 0006, Byeongho Kim, Sungmin Yun, Eojin Lee, Minsoo Rhu, Jung Ho Ahn TRiM: Enhancing Processor-Memory Interfaces with Scalable Tensor Reduction in Memory. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Daichi Fujiki, Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano Randomizing Packet Memory Networks for Low-Latency Processor-Memory Communication. Search on Bibsonomy PDP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Krishna M. Kavi, Stefano Pianelli, Giandomenico Pisano, Giuseppe Regina, Mike Ignatowski Memory organizations for 3D-DRAMs and PCMs in processor memory hierarchy. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Philip Jacob 0001, Aamir Zia, Okan Erdogan, Paul M. Belemjian, Jin Woo Kim, Michael Chu, Russell P. Kraft, John F. McDonald 0001, Kerry Bernstein Mitigating Memory Wall Effects in High-Clock-Rate and Multicore CMOS 3-D Processor Memory Stacks. Search on Bibsonomy Proc. IEEE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16James R. Goodman Retrospective: Using Cache Memory to Reduce Processor-Memory Traffic. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16James R. Goodman Using Cache Memory to Reduce Processor-Memory Traffic. Search on Bibsonomy 25 Years ISCA: Retrospectives and Reprints The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16James R. Goodman Using Cache Memory to Reduce Processor-Memory Traffic Search on Bibsonomy ISCA The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
16Li Chen, Sujit Dey Software-based self-testing methodology for processor cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Easwaran Raman, David I. August Recursive data structure profiling. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RDS, dynamic shape graph, list linearization, memory profiling, shape profiling
15Jarno Vanne, Eero Aho, Kimmo Kuusilinna, Timo D. Hämäläinen Enhanced Configurable Parallel Memory Architecture. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Abhaya Asthana, Nandit Soparkar, H. V. Jagadish, Paul Krzyzanowski Logic-enhanced memory for high performance databases. Search on Bibsonomy KES (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Daniel H. Linder, James C. Harden Access Graphs: A Model for Investigating Memory Consistency. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF access pipelining, synchronization, caches, computer architecture, computer architectures, synchronisation, shared memory systems, memory consistency, massively parallel systems
15Daniel J. Sorin, Jonathan Lemon, Derek L. Eager, Mary K. Vernon Analytic Evaluation of Shared-Memory Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance evaluation, heterogeneity, Analytical model, shared memory multiprocessor, mean value analysis
15Nachiketh R. Potlapally, Srivaths Ravi 0001, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Nachiketh R. Potlapally, Srivaths Ravi 0001, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha Impact of Configurability and Extensibility on IPSec Protocol Execution on Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Performance, Embedded Systems, Security Protocols, Configurability, Extensibility, Embedded Processors, IPSec, Embedded Security
15Pyrrhos Stathis, Dmitry Cheresiz, Stamatis Vassiliadis, Ben H. H. Juurlink Sparse Matrix Transpose Unit. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Martti Forsell, Martti Penttonen, Ville Leppänen Efficient Two-Level Mesh based Simulation of PRAMs. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF time-processor optimal, simulation, interconnection network, mesh, PRAM, shared memory machine
15Joseph P. Heid A hybrid computer interface for microprocessors. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
14Eduardo Braulio Wanderley Netto, Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet A Code Compression Method to Cope with Security Hardware Overheads. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Dennis Abts, Abdulla Bataineh, Steve Scott, Greg Faanes, Jim Schwarzmeier, Eric Lundberg, Tim Johnson, Mike Bye, Gerald Schwoerer The Cray BlackWidow: a highly scalable vector multiprocessor. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-radix, architecture, multiprocessor, shared memory, distributed shared memory, vector, fat-tree, MPP
14Uzi Vishkin Two techniques for reconciling algorithm parallelism with memory constraints. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory systems constraints, parallel algorithms, prefetching
14Krishna Kant 0001, Youjip Won Server Capacity Planning for Web Traffic Workload. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF caching/proxy server, band-width requirements, Web server, self-similarity, symmetric multiprocessors, traffic characterization
14Neil C. Audsley, Konstantinos Bletsas Fixed Priority Timing Analysis of Real-Time Systems with Limited Parallelism. Search on Bibsonomy ECRTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, Ying Cheng Embedded hardware and software self-testing methodologies for processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Kris Venstermans, Lieven Eeckhout, Koen De Bosschere Java object header elimination for reduced memory consumption in 64-bit virtual machines. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 64-bit implementation, Java object model, implicit typing, typed virtual addressing, Virtual machine
14Tushar Mohan, Bronis R. de Supinski, Sally A. McKee, Frank Mueller 0001, Andy Yoo, Martin Schulz 0001 Identifying and Exploiting Spatial Regularity in Data Memory References. Search on Bibsonomy SC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Binu K. Mathew, Sally A. McKee, John B. Carter, Al Davis Algorithmic foundations for a parallel vector access memory system. Search on Bibsonomy SPAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Alvin R. Lebeck, Xiaobo Fan, Heng Zeng, Carla Schlatter Ellis Power Aware Page Allocation. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Arnold Neville Pears, Rhys S. Francis Barrier Semantics in Very Weak Memory. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
13Peter Bertels, Wim Heirman, Dirk Stroobandt Strategies for dynamic memory allocation in hybrid architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF java, memory management, hardware acceleration
13Bithika Khargharia, Salim Hariri, Wael Kdouh, Manal Houri, Hesham El-Rewini, Mazin S. Yousif Autonomic power and performance management of high-performance servers. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Lakshminarayanan Subramanian, Karthikeyan Mahesh Efficient End-Host Resource Management with Kernel Optimizations for Multimedia Applications. Search on Bibsonomy ECMAST The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13John R. Feehrer, Jon Sauer, Lars H. Ramfelt Design and Implementation of a Prototype Optical Deflection Network. Search on Bibsonomy SIGCOMM The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
13Joep L. W. Kessels, Suk-Jin Kim, Ad M. G. Peeters, Paul Wielage Clock Synchronization through Handshake Signalling. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GALS systems, pausible clocks, asynchronous crossbar/bus, processor/memory architectures
13Jason E. Fritts, Roger D. Chamberlain Breaking the Memory Bottleneck with an Optical Data Path. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bandwidth bottleneck, processor-memory gap, performance evaluation, media processing, optical bus
13Peter J. Varman, I. V. Ramakrishnan Synthesis of an Optimal Family of Matrix Multiplication Algorithms on Linear Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF extensible algorithms, processor-memory tradeoff, parallel processing, VLSI, matrix multiplication, Array Processors, linear array
13Leonard Uhr Comparing Serial Computers, Arrays, and Networks Using Measures of "Active Resources". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF Active resources, evaluating computer power, processor-memory ratio, image processing, parallel computers, SIMD, MIMD
12K. Ganeshamoorthy, D. N. Ranasinghe On the Performance of Parallel Neural Network Implementations on Distributed Memory Architectures. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel neural network, Hybrid partition, VSM, DM
12Mihir R. Choudhury, Kyle Ringgenberg, Scott Rixner, Kartik Mohanram Interactive presentation: Single-ended coding techniques for off-chip interconnects to commodity memory. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Lan Gao, Jun Yang 0002, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin S. Lee A low-cost memory remapping scheme for address bus protection. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address bus leakage protection, secure processor
12Karl Thaller, Andreas Steininger A transparent online memory test for simultaneous detection of functional faults and soft errors in memories. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Farnaz Mounes-Toussi, David J. Lilja, Zhiyuan Li 0001 An evaluation of a compiler optimization for improving the performance of a coherence directory. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Sobhan Niknam, Yixian Shen, Anuj Pathania, Andy D. Pimentel 3D-TTP: Efficient Transient Temperature-Aware Power Budgeting for 3D-Stacked Processor-Memory Systems. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Lokesh Siddhu, Rajesh Kedia, Shailja Pandey, Martin Rapp, Anuj Pathania, Jörg Henkel, Preeti Ranjan Panda CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory Systems. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
12Amirali Boroumand Practical Mechanisms for Reducing Processor-Memory Data Movement in Modern Workloads. Search on Bibsonomy 2021   DOI  RDF
12Naveed Ul Mustafa Reducing processor-memory performance gap and improving network-on-chip throughput (İşlemci-bellek performans farkını azaltmak ve yonga-üstü-ağ verimini artırmak) Search on Bibsonomy 2019   RDF
12Sam Van den Steen, Lieven Eeckhout Modeling Superscalar Processor Memory-Level Parallelism. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Karthik Rao, William J. Song, Yorai Wardi, Sudhakar Yalamanchili TRINITY: Coordinated Performance, Energy and Temperature Management in 3D Processor-Memory Stacks. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
12Keith D. Cooper, Xiaoran Xu Efficient Characterization of Hidden Processor Memory Hierarchies. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
12Baki Berkay Yilmaz, Alenka G. Zajic, Milos Prvulovic Modelling Jitter in Wireless Channel Created by Processor-Memory Activity. Search on Bibsonomy ICASSP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Keith D. Cooper, Xiaoran Xu Efficient Characterization of Hidden Processor Memory Hierarchies. Search on Bibsonomy ICCS (3) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Aditya Agrawal, Josep Torrellas, Sachin Idgunji Xylem: enhancing vertical thermal conduction in 3D processor-memory stacks. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Gustavo A. Chaparro-Baquero, Shi Sha, Soamar Homsi, Wujie Wen, Gang Quan Processor/memory Co-Scheduling using periodic resource server for real-time systems under peak temperature constraints. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Henry Wong, Vaughn Betz, Jonathan Rose Microarchitecture and Circuits for a 200 MHz Out-of-Order Soft Processor Memory System. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Hyuk-Je Kwon, Yongseok Choi Protocol for a Simplified Processor-Memory Interface Using High-Speed Serial Link. Search on Bibsonomy CloudComp The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Etienne Sicard, Alexandre Boyer, Priscila Fernandez-Lopez, An Zhou, Nicolas Marier, Frédéric Lafon EMC performance analysis of a processor/memory system using PCB and Package-On-Package. Search on Bibsonomy EMC Compo The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Steven G. Smith, David R. Jefferson, Peter D. Barnes Jr., Sergei Nikolaev Improving per processor memory use of ns-3 to enable large scale simulations. Search on Bibsonomy WNS3 The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sobhan Niknam, Arghavan Asad, Mahmood Fathy, Amir-Mohammad Rahmani Energy efficient 3D Hybrid processor-memory architecture for the dark silicon age. Search on Bibsonomy ReCoSoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Wooil Kim Architecting, programming, and evaluating an on-chip incoherent multi-processor memory hierarchy Search on Bibsonomy 2015   RDF
12Alvaro Velasquez, Sumit Kumar Jha 0001 Parallel computing using memristive crossbar networks: Nullifying the processor-memory bottleneck. Search on Bibsonomy IDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Krishna M. Kavi, Stefano Pianelli, Giandomenico Pisano, Giuseppe Regina, Mike Ignatowski 3D DRAM and PCMs in Processor Memory Hierarchy. Search on Bibsonomy ARCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
12Suhas M. Satheesh, Emre Salman Power Distribution in TSV-Based 3-D Processor-Memory Stacks. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
12Su Myat Min, Jorgen Peddersen, Sri Parameswaran Realizing Cycle Accurate Processor Memory Simulation via Interface Abstraction. Search on Bibsonomy VLSI Design The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
12Aamir Zia, Philip Jacob 0001, Jin Woo Kim, Michael Chu, Russell P. Kraft, John F. McDonald 0001 A 3-D Cache With Ultra-Wide Data Bus for 3-D Processor-Memory Integration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 226 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license