The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase reduced-area (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1999 (16) 2000-2002 (15) 2003-2004 (15) 2005-2006 (15) 2007-2011 (17) 2015-2023 (10)
Publication types (Num. hits)
article(24) inproceedings(64)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 104 occurrences of 89 keywords

Results
Found 88 publication records. Showing 88 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Akhilesh Tyagi A Reduced-Area Scheme for Carry-Select Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF reduced-area, conditional-sum adders, carry-chain evaluations, gate-count, carry-ripple, classical carry-select, logic design, adders, logic circuits, gate-delay, parallel-prefix adders, analytic evaluation, area-efficient, carry-skip adders, carry-select adders
32K'Andrea C. Bickerstaff, Michael J. Schulte, Earl E. Swartzlander Jr. Parallel reduced area multipliers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Hussein M. Alnuweiri A New Class of Optimal Bounded-Degree VLSI Sorting Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF VLSI sorting networks, optimal VLSI sorters, rotate-sort, enumeration-sort, reduced-area, K-shuffle layouts, VLSI, optimisation, logic design, sorting, time complexity, bounded-degree
21Savvas Koudounas, Julius Georgiou A Reduced-Area, Low-Power CMOS Bandgap Reference Circuit. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ahmad A. Hiasat High-Speed and Reduced-Area Modular Adder Structures for RNS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF modular adder, hardware requirements, VLSI, Computer arithmetic, Residue Number System, time delay, carry-lookahead adder
16Timothy Sherwood, Brad Calder Patchable instruction ROM architecture. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran, Aleksandar Ignjatovic Application specific forwarding network and instruction encoding for multi-pipe ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-pipe ASIP, VLIW, forwarding, instruction encoding
14Vanio Rodrigues Filho, Ismael Seidel, Nicole Citadin, Marcio Monteiro, Mateus Grellert, José Luís Güntzel Low-Energy and Reduced-Area Hardware Architecture for the Versatile Video Coding FME. Search on Bibsonomy SBCCI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Mohsen A. M. El-Bendary, M. Ayman Efficient Multiple 4-Bit ALU Designs for Fast Computation and Reduced Area. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Abhishek Bhat, Nagendra Krishnapura A Reduced-Area Capacitor-Only Loop Filter With Polarity-Switched Gm for Large Multiplication Factor Millimeter-Wave Sub-Sampling PLLs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Xiaowei Deng, Yunchen Qiu, David Toops, George Jamison A Trim Bit One Time Programmable EPROM with Aggressively Reduced Area, Enhanced Functionality, and Extra Features. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Muhammad Mazher Iqbal, Husain Parvez, Fasahat Hussain, Muhammad Rashid An Application Specific Reconfigurable Architecture with Reduced Area and Static Memory Cells. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
14R. Saravana Ram, M. Lordwin Cecil Prabhaker, K. Suresh, Kamalraj Subramaniam, M. Venkatesan Dynamic partial reconfiguration enchanced with security system for reduced area and low power consumption. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Karim Ali 0004, Fei Li 0015, Sunny Y. H. Lua, Chun-Huat Heng Energy Efficient Reduced Area Overhead Spin-Orbit Torque Non-Volatile SRAMs. Search on Bibsonomy IECON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Tongxin Yang, Toshinori Sato, Tomoaki Ukezono An Approximate Multiply-Accumulate Unit with Low Power and Reduced Area. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Arindam Banerjee 0003, Debesh Kumar Das A New Squarer design with reduced area and delay. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Arindam Banerjee 0003, Debesh Kumar Das Squarer design with reduced area and delay. Search on Bibsonomy VDAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
14Hirotaka Kawashima, Naofumi Takagi Partial Product Generation Utilizing the Sum of Operands for Reduced Area Parallel Multipliers. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Mehdi Karimibiuki, Kyle Balston, Alan J. Hu, André Ivanov Post-silicon code coverage evaluation with reduced area overhead for functional verification of SoC. Search on Bibsonomy HLDVT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Padma Devi, Gurinder Pal Singh, Balwinder Singh Low Power Optimized Array Multiplier with Reduced Area. Search on Bibsonomy HPAGC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
14Amir Sabbagh Molahosseini, M. Kuchaki Rafsanjani, S. H. Ghafouri, M. Hashemipour A Reduced-Area Reverse Converter for the Moduli Set {2n, 2n-1, 22n-1-1}. Search on Bibsonomy Int. J. Adv. Comp. Techn. The full citation details ... 2010 DBLP  BibTeX  RDF
14Jawar Singh, Dilip S. Aswar, Saraju P. Mohanty, Dhiraj K. Pradhan A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Tuhin Subhra Chakraborty, Saswat Chakrabarti A reduced area 1 GSPS FFT design using MRMDF architecture for UWB communication. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14H. Souffi-Kebbati, Jean-Philippe Blonde, Francis Braun A new semi-flat architecture for high speed and reduced area CORDIC chip. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Himanshu Thapliyal, Hamid R. Arabnia, M. B. Srinivas Reduced Area Low Power High Throughput BCD Adders for IEEE 754r Format Search on Bibsonomy CoRR The full citation details ... 2006 DBLP  BibTeX  RDF
14Biplab K. Sikdar, Samir Roy, Debesh K. Das A Degree-of-Freedom Based Synthesis Scheme for Sequential Machines with Enhanced BIST Quality and Reduced Area. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF emitability, FSM state encoding, reachability, degree-of-freedom
14Edward Merlo, Kwang-Hyun Baek, Myung-Jun Choe Exponential split accumulator for high-speed reduced area low-power direct digital frequency synthesizers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DDFS, NCO, direct digital frequency synthesizer, numerically controlled oscillator, phase accumulator, low power
14Youngjoon Kim, Lee-Sup Kim A low power carry select adder with reduced area. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Trudi-Heleen Joubert, Evert Seevinck, Monuko du Plessis A CMOS reduced-area SRAM cell. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Jan Mulder, Marcel van de Gevel, Arthur H. M. van Roermund A reduced-area low-power low-voltage single-ended differential pair. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Subhrajit Bhattacharya, Sujit Dey H-SCAN: A high level alternative to full-scan testing with reduced area and test application overheads. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF H-SCAN, parallel register connectivity, on-chip response, sequential test vectors, combinational test vectors, combinational ATPG program, RT-level design, integrated circuit testing, design for testability, automatic testing, fault simulation, fault coverage, test pattern generation, comparator, boundary scan testing, test application time, high-level design, area overhead, testing methodology
14Nur A. Touba, Edward J. McCluskey Logic synthesis techniques for reduced area implementation of multilevel circuits with concurrent error detection. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14K'Andrea C. Bickerstaff, Michael J. Schulte, Earl E. Swartzlander Jr. Reduced area multipliers. Search on Bibsonomy ASAP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Christos A. Papachristou, Anil L. Pandya A design scheme for PLA-based control tables with reduced area and time-delay cost. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Akhilesh Tyagi A reduced area scheme for carry-select adders. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11Betul Buyukkurt, Zhi Guo, Walid A. Najjar Impact of Loop Unrolling on Area, Throughput and Clock Frequency in ROCCC: C to VHDL Compiler for FPGAs. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Marco Ottavi, Vamsi Vankamamidi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano Design of a QCA Memory with Parallel Read/Serial Write. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Yee Jern Chong, Sri Parameswaran Rapid application specific floating-point unit generation with bit-alignment. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bit-alignment, datapath merging, floating-point
9Amir Zjajo, Mingxin Song A low-power digitally-programmable variable gain amplifier in 65 nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dicrete-time amplifier, variable gain amplifier, waveform generator
9Sudhakar Maddi, M. B. Srinivas A unified and reconfigurable Montgomery Multiplier architecture without four-to-two CSA. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sum-carry logic, RSA, ECC, reconfigurable architectures, montgomery multiplication, unified architectures
9Peter R. Wilson, Reuben Wilcock Behavioural modeling and simulation of a switched-current phase locked loop. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9B. Suresh, Biswadeep Chaterjee, R. Harinath Synthesizable RAM-Alternative to Low Configuration Compiler Memory for Die Area Reduction. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Synthesizable RAM, Compiler Memory, ASIC library, Die Area Reduction, Testability
8George Economakos, Kostas Anagnostopoulos Bit level architectural exploration technique for the design of low power multipliers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Rakesh Kumar 0002, Norman P. Jouppi, Dean M. Tullsen Conjoined-Core Chip Multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Vitalij Ocheretnij, Daniel Marienfeld, Egor S. Sogomonyan, Michael Gössel Self-Checking Code-Disjoint Carry-Select Adder with Low Area Overhead by Use of Add1-Circuits. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Elias Teodoro Silva Jr., Marco A. Wehrmeister, Flávio Rech Wagner, Carlos Eduardo Pereira An approach to improve predictability in communication services in distributed real-time embedded systems. Search on Bibsonomy JTRES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time systems, energy efficiency, embedded applications, networked embedded systems
7David Marche, Yves Gagnon, Yvon Savaria . A new switch compensation technique for inverted R-2R ladder DACs. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Pushpa Kumar, Kang Zhang 0001 Visualization of clustered directed acyclic graphs with node interleaving. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF node interleaving, overlapping nodes, visualization, graph drawing, directed acyclic graphs
6Khan A. Wahid, Seok-Bum Ko, Daniel Teng Efficient hardware implementation of an image compressor for wireless capsule endoscopy applications. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Kevin Dale, Jeremy W. Sheaffer, Vinu Vijay Kumar, David P. Luebke, Greg Humphreys, Kevin Skadron Applications of Small-Scale Reconfigurability to Graphics Processors. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6José-Alejandro Piñeiro, Stuart F. Oberman, Jean-Michel Muller, Javier D. Bruguera High-Speed Function Approximation Using a Minimax Quadratic Interpolator. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Table-based methods, minimax polynomial approximation, single-precision computations, computer arithmetic, square root, reciprocal, elementary functions
6Daniel Marienfeld, Egor S. Sogomonyan, Vitalij Ocheretnij, Michael Gössel New Self-checking Output-Duplicated Booth Multiplier with High Fault Coverage for Soft Errors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
6Leyla Nazhandali, Michael Minuth, Bo Zhai, Javin Olson, Todd M. Austin, David T. Blaauw A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sensor network, energy efficiency, microprocessor, memory organization
6Ben I. Hounsell, Tughrul Arslan, Robert Thomson 0003 Evolutionary design and adaptation of high performance digital filters within an embedded reconfigurable fault tolerant hardware platform. Search on Bibsonomy Soft Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Robust hardware, Finite impulse response filters, Genetic algorithms, Fault tolerant, Programmable logic arrays, PLAs, FIR filters, Evolvable hardware
6Jorge R. Fernandes, Manuel Medeiros Silva A very low-power CMOS parallel A/D converter for embedded applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
6Xavier Michel, Alexandre Verle, Nadine Azémard, Philippe Maurine, Daniel Auvergne Metric Definition for Circuit Speed Optimization. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
6G. Harling A DRAM Compiler for Fully Optimized Memory Instances. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Christopher T. Weaver, Rajeev Krishna, Lisa Wu 0001, Todd M. Austin Application specific architectures: a recipe for fast, flexible and power efficient designs. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Ben I. Hounsell, Tughrul Arslan Evolutionary Design And Adaptation Of Digital Filters Within An Embedded Fault Tolerant Hardware Platform . Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
6Gauthier Lafruit, Francky Catthoor, Jan Cornelis 0001, Hugo De Man An efficient VLSI architecture for 2-D wavelet image coding with novel image scan. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
6P. C. Shah, Hosaker N. Mahabala A New Compaction Scheme Based on Compression Ridges. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
4Cláudio Sapateiro, Nelson Baloian, Pedro Antunes 0001, Gustavo Zurita Developing collaborative peer-to-peer applications on mobile devices. Search on Bibsonomy CSCWD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Tomás Lang, Alberto Nannarelli Division Unit for Binary Integer Decimals. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
4Aiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki Transistor-level based defect tolerance for reliable nanoelectronics. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
4Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk, Kai-Pui Lam A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
4Alfredo Arnaud, Rafaella Fiorelli, Carlos Galup-Montoro On the design of very small transconductance OTAs with reduced input offset. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, CMOS, analog design
4Sebastián López, Félix Tobajas, A. Villar, V. de Armas, José Francisco López, Roberto Sarmiento Low cost efficient architecture for H.264 motion estimation. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
4Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr., Diogo B. Brum, Eduardo Luis Rhod Merging a DSP-Oriented Signal Integrity Technique and SW-Based Fault Handling Mechanisms to Ensure Reliable DSP Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital signal processing (DSP) systems, electromagnetic interference (EMI), speech recognition system (SRS), on-line testing, noise immunity
4A. Rao, Th. Haniotakis, Y. Tsiatouhas, V. Kaky A New Dynamic Circuit Design Technique for High Performance TSC Checker Implementations. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Guido Bertoni, Luca Breveglieri, Israel Koren, Paolo Maistri An Efficient Hardware-Based Fault Diagnosis Scheme for AES: Performances and Cost. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Paolo Bernardi, Maurizio Rebaudengo, Matteo Sonza Reorda Exploiting an I-IP for In-Field SOC Test. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
4Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. A New On-Line Robust Approach to Design Noise-Immune Speech Recognition Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF speech-recognition systems (SRS), digital signal processing (DSP), on-line testing, performance degradation, noise immunity, area overhead, recovery blocks
4Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr., Diogo B. Brum Briefing a New Approach to Improve the EMI Immunity of DSP Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Digital Signal Processing (DSP) Systems, Electromagnetic Interference (EMI), On-Line Testing, Noise Immunity
4Eric Keller, Gordon J. Brebner, Philip James-Roxby Software Decelerators. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Massimo Conti, Paolo Crippa, Francesco Fedecostunte, Simone Orcioni, F. Ricciardi, Claudio Turchetti, Loris Vendrame A modular test structure for CMOS mismatch characterization. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer High Speed Ring Generators and Compactors of Test Data. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
4Ahmed M. Shams, Wendi Pan, Archana Chidanandan, Magdy A. Bayoumi A Low Power High Performance Distributed DCT Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Distributed Arithmetic (DA), Multiply and Accumulate unit (MAC), multiplier-free solution, power/area efficient VLSI design, discrete cosine transform (DCT)
4Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. Experimental Results of a Recovery Block Scheme to Handle Noise in Speech Recognition Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Speech-Recognition Systems (SRS), Recovery Blocks Scheme, Digital Signal Processing (DSP), On-Line Testing, Performance Degradation, Noise Immunity
4Peter Celinski, Said F. Al-Sarawi, Derek Abbott, José Francisco López Low depth carry lookahead addition using charge recycling threshold logic. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. A New On-Line Robust Approach to Design Noise Immune Speech Recognition Systems. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
4Florence Azaïs, Serge Bernard, Yves Bertrand, Michel Renovell Optimizing Sinusoidal Histogram Test for Low Cost ADC BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Built-In Self-Test (BIST), analog and mixed-signal testing, ADC test
4Ismet Bayraktaroglu, Alex Orailoglu Accumulation-based concurrent fault detection for linear digital state variable systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF concurrent fault detection, linear digital state variable systems, algorithmic fault detection scheme, accumulation-based approach, fault diagnosis, logic testing, error detection, error detection, linear systems, digital filters, digital signal processing chips, digital systems, area overhead
4Mohammad A. Naal, Emmanuel Simeu High-Level Synthesis Methodology for On-Line Testability Optimization. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF On-line testability, Function factorization, idle-time operation, High-level synthesis
4Elisenda Roca, Servando Espejo-Meana, Rafael Domínguez-Castro, Gustavo Liñán, Ángel Rodríguez-Vázquez A Programmable Imager for Very High Speed Cellular Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
4Bernard Antaki, Yvon Savaria, Nanhan Xiong, Saman Adham Design For Testability Method for CML Digital Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
4Charu C. Aggarwal, Joel L. Wolf, Philip S. Yu, Marina A. Epelman The S-Tree: An Efficient Index for Multidimensional Objects. Search on Bibsonomy SSD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
4Tudor Jebelean Design of a systolic coprocessor for rational addition. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF systolic coprocessor, rational addition, exact division, field programmable gate arrays, parallel architectures, systolic arrays, digital arithmetic, multiplication, addition, subtraction, rational numbers, GCD
4Subhrajit Bhattacharya, Franc Brglez, Sujit Dey Transformations and resynthesis for testability of RT-level control-data path specifications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #88 of 88 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license