The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for repeater with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1977 (15) 1978-1984 (23) 1986-1998 (24) 1999-2000 (17) 2001-2002 (25) 2003 (24) 2004 (15) 2005 (33) 2006 (31) 2007 (38) 2008 (36) 2009 (20) 2010 (15) 2011-2012 (21) 2013-2014 (20) 2015-2016 (24) 2017-2018 (19) 2019 (21) 2020-2021 (23) 2022 (19) 2023 (29) 2024 (5)
Publication types (Num. hits)
article(219) inproceedings(276) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 204 occurrences of 115 keywords

Results
Found 497 publication records. Showing 497 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
205Xun Liu, Yuantao Peng, Marios C. Papaefthymiou Practical repeater insertion for low power: what repeater library do we need? Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
202Xun Liu, Yuantao Peng, Marios C. Papaefthymiou Practical repeater insertion for low power: what repeater library do we need? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion
139Yuantao Peng, Xun Liu An Efficient Low-Power Repeater-Insertion Scheme. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
102Charbel J. Akl, Magdy A. Bayoumi Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
102Srividya Srinivasaraghavan, Wayne P. Burleson Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
96Christoph Bartoschek, Stephan Held, Dieter Rautenbach, Jens Vygen Fast buffering for optimizing worst slack and resource consumption in repeater trees. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnect buffering, repeater tree, physical design, repeater insertion, timing closure
87Charbel J. Akl, Magdy A. Bayoumi Assumers for high-speed single and multi-cycle on-chip interconnect with low repeater count. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-speed signaling, repeater, wires
87Yuantao Peng, Xun Liu Low-power repeater insertion with both delay and slew rate constraints. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion, slew rate
87Hui-shin Chae, Kye-san Lee, Jae Sang Cha An Adaptive Repeater System for OFDM with Frequency Hopping Control to Reduce the Interference. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Repeater System, ISI (Inter Symbol Interference), Frequency Hopping Controller
87Yuantao Peng, Xun Liu A sensitivity analysis of low-power repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, sensitivity, repeater insertion
87Brent Goplen, Prashant Saxena, Sachin S. Sapatnekar Net weighting to reduce repeater counts during placement. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, placement, scaling, buffering, repeater, force-directed placement, net weighting
78Nikolai Ryzhenko, Oleg Venger A practical repeater insertion flow. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, buffer insertion, fanout optimization, topology generation
78Jakub Borkowski, Jarno Niemelä, Tero Isotalo, Panu Lähdekorpi, Jukka Lempiäinen Utilization of an Indoor DAS for Repeater Deployment in WCDMA. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
78Srinivasa R. Sridhara, Naresh R. Shanbhag A low-power bus design using joint repeater insertion and coding. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, coding, crosstalk, repeaters
78Yehea I. Ismail, Eby G. Friedman, José Luis Neves Repeater insertion in tree structured inductive interconnect. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
75Christoph Bartoschek, Stephan Held, Dieter Rautenbach, Jens Vygen Efficient generation of short and fast repeater tree topologies. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inverter tree, repeater tree, buffering, tree topology, rectilinear Steiner tree
75Daniel A. Andersson, Lars J. Svensson, Per Larsson-Edefors Accounting for the skin effect during repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer design, optimization, delay, power, repeater insertion, skin effect
75Yuantao Peng, Xun Liu Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion
75Yuantao Peng, Xun Liu Power macromodeling of global interconnects considering practical repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, interconnect, macromodeling, repeater insertion
75Dinesh Pamunuwa, Hannu Tenhunen On Dynamic Delay and Repeater Insertion in Distributed Capacitively Coupled Interconnects. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cross-talk, Delay minimisation, Static timing, Repeater insertion, Deep sub-micron
72Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay-balanced, minimal-power, interconnects, repeaters
66Yuantao Peng, Xun Liu RITC: Repeater Insertion with Timing Target Compensation. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
66Xun Liu, Yuantao Peng, Marios C. Papaefthymiou RIP: An Efficient Hybrid Repeater Insertion Scheme for Low Power. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
66Yu Ching Chang, King Ho Tam, Lei He 0001 Power-optimal repeater insertion considering Vdd and Vth as design freedoms. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, buffer insertion
66Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
66Probir Sarkar, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centricfloorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
66Yehea I. Ismail, Eby G. Friedman Repeater insertion in RLC lines for minimum propagation delay. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
63Longfei Shi, XueSong Wang 0003, Shunping Xiao Polarization discrimination between repeater false-target and radar target. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF repeater false-target, discrimination, polarization
63XueSong Wang, JianCheng Liu, Wenming Zhang, QiXiang Fu, Zhong Liu, XiaoXia Xie Mathematic principles of interrupted-sampling repeater jamming (ISRJ). Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interrupted-sampling, repeater jamming, ambiguity function, pulse compression, linear frequency modulated signal
63Salim Chowdhury, John Lillis Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion
63Ja Chun Ku, Yehea I. Ismail Thermal-aware methodology for repeater insertion in low-power VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
63W. T. Cheung, N. Wong Power optimization in a repeater-inserted interconnect via geometric programming. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optimization, interconnect, power, repeater, geometric programming
60Mart L. Molle, Boaz Yeger Reducing the Effects of Large Propagation Delays on High Speed IEEE 802.3 CSMA/CD Networks using Collision Truncation. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
54Hanif Fatemi, Behnam Amelifard, Massoud Pedram Power optimal MTCMOS repeater insertion for global buses. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MTCMOS circuits, low-power design, buffer insertion
54Trong-Yen Lee, Yang-Hsin Fan, Chia-Chun Tsai Reduction of RLC Tree Delay Using Bidirectional Buffer Repeater Insertion. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Naohiko Uramoto, Hiroshi Maruyama InfoBus Repeater: A Secure and Distributed Publish/Subscribe Middleware. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
54Noel Menezes, Chung-Ping Chen Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Ashutosh Chakraborty, David Z. Pan On stress aware active area sizing, gate sizing, and repeater insertion. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, buffer, sizing, stress, repeater
48Maged Ghoneima, Yehea I. Ismail Optimum positioning of interleaved repeaters in bidirectional buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose Thermal-aware task scheduling at the system software level. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
45Pathma Rathinavelu, Gottfried Schapeler, Andreas Weber 0003 UMTS Coverage and Capacity Enhancement Using Repeaters and Remote RF Heads. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF UMTS network, coverage and capacity enhancement, remote RF head, repeater
45Prashant Saxena, Bill Halpin Modeling repeaters explicitly within analytical placement. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, placement, scaling, buffering, repeater insertion, force-directed placement, analytical placement
45Falah R. Awwad, Mohamed Nekili Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel regeneration, VLSI, repeater, RLC interconnect
45P. Ghosh, Ramon Mangaser, C. Mark, Kenneth Rose Interconnect-Dominated VLSI Design. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Microprocessor Performance Estimation, Interconnects, Floorplanning, VLSI Design, Repeater Insertion
42Yisheng Xue Amplify-and-forward repeater enhanced random access in single-cell wireless communications. Search on Bibsonomy PIMRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Himanshu Kaul, Jae-sun Seo, Mark A. Anders 0001, Dennis Sylvester, Ram Krishnamurthy 0001 A robust alternate repeater technique for high performance busses in the multi-core era. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Ja Chun Ku, Yehea I. Ismail Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Krishnamoorthy Natarajan, S. J. Nagalakshmi Repeater Sizing and Insertion Length of Interconnect to Minimize the Overall Time Delay using a Truncated Fourier Series Approach. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick Repeater scaling and its impact on CAD. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Weiping Liao, Lei He 0001 Full-Chip Interconnect Power Estimation and Simulation Considering Concurrent Repeater and Flip-Flop Insertion. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Ruibing Lu, Guoan Zhong, Cheng-Kok Koh, Kai-Yuan Chao Flip-Flop and Repeater Insertion for Early Interconnect Planning. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42P. Kaewplung, P. Thipchatchawanwong Performance improvement of 40 Gbit/s optical soliton transmission system by employing all-optically regenerative repeater using nonlinear optical loop mirror. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Dinesh Pamunuwa, Hannu Tenhunen Repeater Insertion To Minimise Delay In Coupled Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Yehea I. Ismail, Eby G. Friedman Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42I-Min Liu, Adnan Aziz, D. F. Wong 0001 Meeting Delay Constraints in DSM by Minimal Repeater Insertion. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Julian Culetu, Chaim Amir, John MacDonald A Practical Repeater Insertion Method in High Speed VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
39Hu Xu 0002, Vasilis F. Pavlidis, Giovanni De Micheli Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing optimization, on-chip interconnect, repeater insertion, 3-D ICs
36Miao Qingyu, Afif Osseiran Performance Comparison Between DF relay and RF Repeaters in the Cellular System. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Paramvir Bahl, Ranveer Chandra, Patrick P. C. Lee, Vishal Misra, Jitendra Padhye, Dan Rubenstein, Yan Yu Opportunistic use of client repeaters to improve performance of WLANs. Search on Bibsonomy CoNEXT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Timing optimization in logic with interconnect. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, logic circuits, timing optimization, repeaters, logical effort
36Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Charbel J. Akl, Magdy A. Bayoumi Reducing Delay Uncertainty of On-Chip Interconnects by Combining Inverting and Non-Inverting Repeaters Insertion. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Jinwook Jang, Sheng Xu, Wayne P. Burleson Jitter in Deep Sub-Micron Interconnect. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Himanshu Kaul, Richard B. Brown, Sani R. Nassif Power-aware global signaling strategies. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Chong Hyun Lee, Jae Sang Cha Interference Cancellation Algorithm Development and Implementation for Digital Television. Search on Bibsonomy ICCSA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
33Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
30Rodney Van Meter, Thaddeus D. Ladd, W. J. Munro, Kae Nemoto System design for a long-line quantum repeater. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF purification, quantum networking, quantum repeaters, resource scheduling, quantum information
30Renatas Jakushokas, Eby G. Friedman Simultaneous shield and repeater insertion. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay, interconnects, noise, power, area
30Sung Ik Park, Heung Mook Kim, So Ra Park, Yong-Tae Lee, Jong Soo Lim A Novel On-Channel Repeater for Terrestrial-Digital Multimedia Broadcasting System of Korea. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Hongwu Yang, Weitong Guo, Qingqing Liang A Speaking Rate Adjustable Digital Speech Repeater for Listening Comprehension in Second-Language Learning. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Atul Maheshwari, Wayne P. Burleson Current-Sensing and Repeater Hybrid Circuit Technique for On-Chip Interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Andrea Pugliese 0002, Gregorio Cappuccino, Giuseppe Cocorullo A Simple MOSFET Parasitic Capacitance Model and Its Application to Repeater Insertion Technique. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Vineet Wason, Kaustav Banerjee A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer-interconnect system, statistical delay and power models, sensitivity analysis, parameter variations, statistical optimization
30Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Nasser A. Kurd, Javed Barkatullah, Srikanth Nimmagadda, Yehea I. Ismail A Skewed Repeater Bus Architecture for On-Chip Energy Reduction in Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Vinita V. Deodhar, Jeffrey A. Davis Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for Wave-Pipelined VLSI Global Interconnect Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Vinita V. Deodhar, Jeffrey A. Davis Voltage scaling and repeater insertion for high-throughput low-power interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Atul Maheshwari, Wayne P. Burleson Repeater and current-sensing hybrid circuits for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect circuits, delay, power, area
30Yu Cao 0001, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Harshit K. Shah, Pun H. Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Hannu Tenhunen, Dinesh Pamunuwa On dynamic delay and repeater insertion. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Yu Cao 0001, Xuejue Huang, Chenming Hu, Norman Chang, Shen Lin, O. Sam Nakagawa, Weize Xie Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl Minimum power and area n-tier multilevel interconnect architectures using optimal repeater insertion. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30John Lillis, Chung-Kuan Cheng Timing optimization for multisource nets: characterization andoptimal repeater insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30John Lillis, Chung-Kuan Cheng Timing Optimization for Multi-Source Nets: Characterization and Optimal Repeater Insertion. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Paramvir Bahl, Ranveer Chandra, Patrick P. C. Lee, Vishal Misra, Jitendra Padhye, Dan Rubenstein, Yan Yu Opportunistic use of client repeaters to improve performance of WLANs. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF rate anomaly, wireless, IEEE 802.11
24David S. Kung 0001, Ruchir Puri CAD challenges for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Prashant Singh, Jae-sun Seo, David T. Blaauw, Dennis Sylvester Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Liang Zhang 0038, John M. Wilson 0002, Rizwan Bashirullah, Lei Luo 0006, Jian Xu, Paul D. Franzon Voltage-Mode Driver Preemphasis Technique For On-Chip Global Buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jingye Xu, Abinash Roy, Masud H. Chowdhury Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Rahul Nagpal, Arvind Madan, Bharadwaj Amrutur, Y. N. Srikant INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, energy modeling, energy-aware scheduling, clustered VLIW processors
24Kyungmi Park, Chung Gu Kang 0001, Daeyoung Chang, Seungho Song, Jongguk Ahn, Jongtae Ihm Relay-enhanced Cellular Performance of OFDMA-TDD System for Mobile Wireless Broadband Services. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Andrew B. Kahng, Sherief Reda, Puneet Sharma On-Line Adjustable Buffering for Runtime Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Jae-sun Seo, Prashant Singh, Dennis Sylvester, David T. Blaauw Self-Time Regenerators for High-Speed and Low-Power Interconnect. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Prashant Saxena On controlling perturbation due to repeaters during quadratic placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Lutz H.-J. Lampe, Robert Schober, Simon Yiu Distributed space-time coding for multihop transmission in power line communication networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye An energy-efficient temporal encoding circuit technique for on-chip high performance buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF on-chip buses, energy-efficient, encoding, repeaters
24Abinash Roy, Masud H. Chowdhury Impacts of Inductance on the Figures of Merit to Optimize Global Interconnect. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 497 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license