|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 212 occurrences of 135 keywords
|
|
|
Results
Found 284 publication records. Showing 284 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
125 | Ankireddy Nalamalpu, Sriram Srinivasan, Wayne P. Burleson |
Boosters for driving long onchip interconnects - design issues, interconnect synthesis, and comparison with repeaters. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
112 | Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny |
Low-leakage repeaters for NoC interconnects. |
ISCAS (1) |
2005 |
DBLP DOI BibTeX RDF |
|
112 | Ankireddy Nalamalpu, Wayne P. Burleson |
Boosters for driving long on-chip interconnects: design issues, interconnect synthesis and comparison with repeaters. |
ISPD |
2001 |
DBLP DOI BibTeX RDF |
methodology, timing, interconnect, buffering |
99 | Pathma Rathinavelu, Gottfried Schapeler, Andreas Weber 0003 |
UMTS Coverage and Capacity Enhancement Using Repeaters and Remote RF Heads. |
AINA (2) |
2006 |
DBLP DOI BibTeX RDF |
UMTS network, coverage and capacity enhancement, remote RF head, repeater |
99 | Sudhakar Bobba, Ibrahim N. Hajj |
High-performance bidirectional repeaters. |
ACM Great Lakes Symposium on VLSI |
2000 |
DBLP DOI BibTeX RDF |
|
90 | Daniel H. Ho, Shahrokh Valaee |
Information Raining and Optimal Link-Layer Design for Mobile Hotspots. |
IEEE Trans. Mob. Comput. |
2005 |
DBLP DOI BibTeX RDF |
mobile hotspots, graph theory, linear programming, wireless communication, medium access control, network protocols, constrained optimization, Emerging technologies, mobile environments, combinatorial algorithms, mobile communication systems, graphs and networks, network architecture and design |
61 | Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny |
Timing optimization in logic with interconnect. |
SLIP |
2008 |
DBLP DOI BibTeX RDF |
interconnect, logic circuits, timing optimization, repeaters, logical effort |
60 | Guoqing Chen, Eby G. Friedman |
Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
60 | Magdy A. El-Moursy, Eby G. Friedman |
Optimum wire sizing of RLC interconnect with repeaters. |
ACM Great Lakes Symposium on VLSI |
2003 |
DBLP DOI BibTeX RDF |
power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect |
57 | Larry R. Dennison, William J. Dally, Thucydides Xanthopoulos |
Low-latency plesiochronous data retiming. |
ARVLSI |
1995 |
DBLP DOI BibTeX RDF |
telecommunication signalling, data retiming, plesiochronous data, support circuitry, undirectional signalling, timing, latency, communication networks, routers, telecommunication network routing, repeaters, repeaters, bridges, hubs |
56 | Maged Ghoneima, Yehea I. Ismail |
Optimum positioning of interleaved repeaters In bidirectional buses. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
delay, interconnect, noise, repeaters, buses |
52 | Andrew B. Kahng, Sherief Reda, Puneet Sharma |
On-Line Adjustable Buffering for Runtime Power Reduction. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
52 | Atul Maheshwari, Wayne P. Burleson |
Repeater and current-sensing hybrid circuits for on-chip interconnects. |
ACM Great Lakes Symposium on VLSI |
2003 |
DBLP DOI BibTeX RDF |
interconnect circuits, delay, power, area |
48 | Rodney Van Meter, Thaddeus D. Ladd, W. J. Munro, Kae Nemoto |
System design for a long-line quantum repeater. |
IEEE/ACM Trans. Netw. |
2009 |
DBLP DOI BibTeX RDF |
purification, quantum networking, quantum repeaters, resource scheduling, quantum information |
48 | Ron Ho |
High-performance ULSI: the real limiter to interconnect scaling. |
SLIP |
2005 |
DBLP DOI BibTeX RDF |
VLSI, wireless, 3D, scaling, proximity, repeaters, wires |
48 | Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka, Sani R. Nassif |
Approaches to run-time and standby mode leakage reduction in global buses. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
pulsed buses, leakage, repeaters, MTCMOS |
48 | Pawan Kapur, Gaurav Chandra, Krishna Saraswat |
Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
repeaters, power dissipation, global interconnects |
48 | Jatan C. Shah, Sachin S. Sapatnekar |
Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers |
47 | Miao Qingyu, Afif Osseiran |
Performance Comparison Between DF relay and RF Repeaters in the Cellular System. |
VTC Spring |
2008 |
DBLP DOI BibTeX RDF |
|
47 | Mario García-Lozano, Luis Alonso 0001, Fernando J. Casadevall, Silvia Ruiz, Luís M. Correia |
Enhanced Analysis of WCDMA Networks with Repeaters Deployment. |
IEEE Trans. Wirel. Commun. |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Michael Moreinis, Arkadiy Morgenshtein, Israel A. Wagner, Avinoam Kolodny |
Logic Gates as Repeaters (LGR) for Area-Efficient Timing Optimization. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
47 | Prashant Saxena |
On controlling perturbation due to repeaters during quadratic placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
47 | Mario García-Lozano, Luis Alonso 0001, Fernando J. Casadevall, Silvia Ruiz, Luís M. Correia |
On the Impact of Repeaters Deployment on WCDMA, Networks Planning. |
VTC Spring |
2006 |
DBLP DOI BibTeX RDF |
|
47 | Mohammad N. Patwary, Predrag B. Rapajic, Ian J. Oppermann |
Capacity and coverage increase with repeaters in UMTS urban cellular mobile communication environment. |
IEEE Trans. Commun. |
2005 |
DBLP DOI BibTeX RDF |
|
47 | Saumil Shah, Kanak Agarwal, Dennis Sylvester |
A New Threshold Voltage Assignment Scheme for Runtime Leakage Reduction in On-Chip Repeaters. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
47 | Prashant Saxena, Bill Halpin |
Modeling repeaters explicitly within analytical placement. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
interconnect, placement, scaling, buffering, repeater insertion, force-directed placement, analytical placement |
44 | Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick |
The scaling challenge: can correct-by-construction design help? |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
clocked repeaters, correct-by-construction design, design fabrics, post-RTL design, routing, interconnect, placement, logic synthesis, scaling, technology mapping, repeaters |
43 | Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen |
Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime. |
SLIP |
2006 |
DBLP DOI BibTeX RDF |
delay-balanced, minimal-power, interconnects, repeaters |
39 | Charbel J. Akl, Magdy A. Bayoumi |
Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
39 | Srividya Srinivasaraghavan, Wayne P. Burleson |
Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. |
ISVLSI |
2003 |
DBLP DOI BibTeX RDF |
|
39 | Himanshu Kaul, Dennis Sylvester |
Transition Aware Global Signaling (TAGS). |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
|
39 | Yehea I. Ismail, Eby G. Friedman, José Luis Neves |
Repeater insertion in tree structured inductive interconnect. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
34 | Paramvir Bahl, Ranveer Chandra, Patrick P. C. Lee, Vishal Misra, Jitendra Padhye, Dan Rubenstein, Yan Yu |
Opportunistic use of client repeaters to improve performance of WLANs. |
IEEE/ACM Trans. Netw. |
2009 |
DBLP DOI BibTeX RDF |
rate anomaly, wireless, IEEE 802.11 |
34 | Paramvir Bahl, Ranveer Chandra, Patrick P. C. Lee, Vishal Misra, Jitendra Padhye, Dan Rubenstein, Yan Yu |
Opportunistic use of client repeaters to improve performance of WLANs. |
CoNEXT |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye |
Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Karim M. Nasr, John Cosmas, Maurice Bard, Jeff Gledhill |
An Echo Canceller for DVB-T/H On-Channel Repeaters. |
VTC Spring |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Maged Ghoneima, Yehea I. Ismail |
Optimum positioning of interleaved repeaters in bidirectional buses. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Yehea I. Ismail, Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Vivek De |
Skewing adjacent line repeaters to reduce the delay and energy dissipation of on-chip buses. |
ISCAS (1) |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Guoqing Chen, Eby G. Friedman |
Low power repeaters driving RLC interconnects with delay and bandwidth constraints. |
ISCAS (1) |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Hu Xu 0002, Vasilis F. Pavlidis, Giovanni De Micheli |
Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits. |
NanoNet |
2009 |
DBLP DOI BibTeX RDF |
timing optimization, on-chip interconnect, repeater insertion, 3-D ICs |
26 | David S. Kung 0001, Ruchir Puri |
CAD challenges for 3D ICs. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri |
Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis. |
IEEE Trans. Computers |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Nicola Concer, Michele Petracca, Luca P. Carloni |
Distributed flit-buffer flow control for networks-on-chip. |
CODES+ISSS |
2008 |
DBLP DOI BibTeX RDF |
latency-insensitive protocols, network-on-chip |
26 | Ronald Raulefs, Armin Dammann |
Repeating or Relaying in Wireless Systems. |
WCNC |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Ja Chun Ku, Yehea I. Ismail |
Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Charbel J. Akl, Magdy A. Bayoumi |
Transition Skew Coding: A Power and Area Efficient Encoding Technique for Global On-Chip Interconnects. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
transition skew coding, global on-chip interconnects, bus encoding technique, encoding latencies, decoding latencies, 90 nm |
26 | Salim Chowdhury, John Lillis |
Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion |
26 | Ja Chun Ku, Yehea I. Ismail |
Thermal-aware methodology for repeater insertion in low-power VLSI circuits. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
low-power design, repeater insertion, temperature-aware design |
26 | Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose |
Thermal-aware task scheduling at the system software level. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
low-power design, repeater insertion, temperature-aware design |
26 | Jingye Xu, Abinash Roy, Masud H. Chowdhury |
Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Richard Primerano, Kevin Wanuga, Joseph Dorn, Moshe Kam, Kapil R. Dandekar |
Echo-Cancellation for Ultrasonic Data Transmission through a Metal Channel. |
CISS |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Vinita V. Deodhar, Jeffrey A. Davis |
Optimization of throughput performance for low-power VLSI interconnects. |
IEEE Trans. Very Large Scale Integr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi |
Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Pasquale Cocchini |
A methodology for optimal repeater insertion in pipelined interconnects. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
26 | Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli |
On-chip communication design: roadblocks and avenues. |
CODES+ISSS |
2003 |
DBLP DOI BibTeX RDF |
GALS, on-chip networks, latency-insensitive design |
26 | Yu Cao 0001, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu |
Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. |
IEEE Trans. Very Large Scale Integr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
26 | Falah R. Awwad, Mohamed Nekili |
Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. |
ACM Great Lakes Symposium on VLSI |
2002 |
DBLP DOI BibTeX RDF |
parallel regeneration, VLSI, repeater, RLC interconnect |
26 | Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl |
Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI). |
IEEE Trans. Very Large Scale Integr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Probir Sarkar, Cheng-Kok Koh |
Routability-driven repeater block planning for interconnect-centricfloorplanning. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Yu Cao 0001, Xuejue Huang, Chenming Hu, Norman Chang, Shen Lin, O. Sam Nakagawa, Weize Xie |
Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion. |
ISQED |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Imed Ben Dhaou, Hannu Tenhunen, Vijay Sundararajan, Keshab K. Parhi |
Energy Efficient Signaling in Deep Submicron CMOS Technology. |
ISQED |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl |
Minimum power and area n-tier multilevel interconnect architectures using optimal repeater insertion. |
ISLPED |
2000 |
DBLP DOI BibTeX RDF |
|
26 | Jian Li 0061, Rajesh K. Gupta 0001 |
An Algorithm To Determine Mutually Exclusive Operations In Behavioral Descriptions. |
DATE |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, Rahul Sharma |
Interconnect Tuning Strategies for High-Performance Ics. |
DATE |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Julian Culetu, Chaim Amir, John MacDonald |
A Practical Repeater Insertion Method in High Speed VLSI Circuits. |
DAC |
1998 |
DBLP DOI BibTeX RDF |
migration, timing optimazation, custom sizing |
26 | Daniel Audet, Yvon Savaria, N. Arel |
Pipelining communications in large VLSI/ULSI systems. |
IEEE Trans. Very Large Scale Integr. Syst. |
1994 |
DBLP DOI BibTeX RDF |
|
22 | Azad Naeemi, James D. Meindl |
Carbon nanotube interconnects. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
quantum wires, crosstalk, inductance, repeaters, molecular electronics, system analysis and design, system optimization |
22 | Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy 0001 |
A robust edge encoding technique for energy-efficient multi-cycle interconnect. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
multi-cycle interconnect, interconnect, encoding, repeaters |
22 | Prashant Saxena |
The scaling of interconnect buffer needs. |
SLIP |
2006 |
DBLP DOI BibTeX RDF |
interconnect, scaling, buffers, repeaters |
22 | Harmander Deogun, Robert M. Senger, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka |
A dual-VDD boosted pulsed bus technique for low power and low leakage operation. |
ISLPED |
2006 |
DBLP DOI BibTeX RDF |
pulsed bus, leakage, repeaters, Dual-VDD |
22 | Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye |
An energy-efficient temporal encoding circuit technique for on-chip high performance buses. |
ACM Great Lakes Symposium on VLSI |
2006 |
DBLP DOI BibTeX RDF |
on-chip buses, energy-efficient, encoding, repeaters |
22 | Srinivasa R. Sridhara, Naresh R. Shanbhag |
A low-power bus design using joint repeater insertion and coding. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
low-power, coding, crosstalk, repeaters |
22 | Himanshu Kaul, Dennis Sylvester |
A novel buffer circuit for energy efficient signaling in dual-VDD systems. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
on-chip signaling, low-power, repeaters, dual-VDD |
22 | Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl |
A physical model for the transient response of capacitively loaded distributed rlc interconnects. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response |
22 | Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy |
Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. |
ISPD |
2000 |
DBLP DOI BibTeX RDF |
RC delay, routing, timing, estimation, microprocessors, floorplan, repeaters |
21 | Erik G. Larsson, Joao Vieira, Pål K. Frenger |
Reciprocity Calibration of Dual-Antenna Repeaters. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
21 | Hoondong Noh, Hyungsik Ju, Junhwan Lee |
6G Repeaters for Non-Terrestrial Network. |
ICEIC |
2024 |
DBLP DOI BibTeX RDF |
|
21 | Ben Minnaert, Giuseppina Monti |
Optimization of a capacitive wireless power transfer system with two electric field repeaters. |
Int. J. Circuit Theory Appl. |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Gabriel C. M. da Silva, Erik R. B. Falcão, Victor Farias Monteiro, Darlan C. Moreira, Diego Aguiar Sousa, Tarcisio F. Maciel, Francisco Rafael Marques Lima, Behrooz Makki |
System Level Evaluation of Network-Controlled Repeaters: Performance Improvement of Serving Cell and Interference Impact on Neighbor Cells. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Ryosuke Satoh |
RuLa: A Programming Language for RuleSet-based Quantum Repeaters. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Naphan Benchasattabuse, Michal Hajdusek, Rodney Van Meter |
Architecture and protocols for all-photonic quantum repeaters. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Yuan Zhan, Paul Hilaire, Edwin Barnes, Sophia E. Economou, Shuo Sun |
Performance analysis of quantum repeaters enabled by deterministically generated photonic graph states. |
Quantum |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Silas L. Fong, Juan Bucheli, Ashwin Sampath, Ahmed M. Bedewy, Michael Di Mare, Ori Shental, Muhammad Nazmul Islam |
A Mixed-Integer Linear Programming Approach to Deploying Base Stations and Repeaters. |
IEEE Commun. Lett. |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Thomas Fordell, Kalle Hanhijärvi, Anders E. Wallin, Jani Myyry, Thomas Lindvall |
Out-of-Band Fibre-Optic Time and Frequency Transfer Using Asymmetric and Symmetric Opto-Electronic Repeaters. |
|
2023 |
DOI RDF |
|
21 | Seul-Bi Jeon, Heui-Seon Park, Tae-Ho Jo, Suk-Seung Hwang |
A Location Estimation Algorithm Combined with TDOA and TOA Considering Repeaters. |
ICUFN |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Ann Kang, Saikat Guha 0001, Narayanan Rengaswamy, Kaushik P. Seshadreesan |
Trapped Ion Quantum Repeaters with Entanglement Distillation based on Quantum LDPC Codes. |
QCE |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Naphan Benchasattabuse, Michal Hajdusek, Rodney Van Meter |
Protocols for All-Photonic Quantum Repeaters. |
QCE |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Daniel J. Elson, Yuta Wakayama, Noboru Yoshikane, Takehiro Tsuritani |
Performance Requirements for FIFO-less Multicore Fibre Repeaters in Transatlantic-class Transmission. |
OFC |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Reza Aghazadeh Ayoubi, Marouan Mizmizi, Dario Tagliaferri, Danilo De Donno, Umberto Spagnolini |
Network-Controlled Repeaters vs. Reconfigurable Intelligent Surfaces for 6G mmW Coverage Extension: A Simulative Comparison. |
MedComNet |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Maria Jesús Marco Galindo, Julià Minguillón, David García-Solórzano, Teresa Sancho-Vinuesa |
Why Do CS1 Students Become Repeaters? |
Rev. Iberoam. de Tecnol. del Aprendiz. |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Hao Guo 0007, Charitha Madapatha, Behrooz Makki, Boris Dortschy, Lei Bao, Magnus Åström, Tommy Svensson |
A Comparison between Network-Controlled Repeaters and Reconfigurable Intelligent Surfaces. |
CoRR |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Jia-Wei Ji, Yu-Feng Wu, Stephen C. Wein, Faezeh Kimiaee Asadi, Roohollah Ghobadi, Christoph Simon |
Proposal for room-temperature quantum repeaters with nitrogen-vacancy centers and optomechanics. |
Quantum |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Gui-Lu Long 0001, Dong Pan, Yu-Bo Sheng, Qikun Xue, Jianhua Lu, Lajos Hanzo |
An Evolutionary Pathway for the Quantum Internet Relying on Secure Classical Repeaters. |
IEEE Netw. |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Adrian Schumacher, Ruben Merz, Andreas Burg |
Beam Selection and Tracking for Amplify-and-Forward Repeaters. |
VTC Spring |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Giuseppe Leone, Eugenio Moro, Ilario Filippini, Antonio Capone, Danilo De Donno |
Towards Reliable mmWave 6G RAN: Reconfigurable Surfaces, Smart Repeaters, or Both? |
WiOpt |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Kenneth Sharman, Faezeh Kimiaee Asadi, Stephen C. Wein, Christoph Simon |
Quantum repeaters based on individual electron spins and nuclear-spin-ensemble memories in quantum dots. |
Quantum |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Mohamed Salah Ibrahim, Saeed R. Khosravirad, Jakub Mazgula, Harish Viswanathan, Nicholas D. Sidiropoulos |
Beam Selection for Ultra-Reliable Low-Latency Communication in Industrial Environment with Beamforming Repeaters. |
ICC Workshops |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Jiangyuan Yao, Kaiwen Zou, Deshun Li, Zheng Jiang |
Optimal deployment design of repeaters and memories in quantum networks. |
HPCC/DSS/SmartCity/DependSys |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Uzi Pereg, Christian Deppe, Holger Boche |
Quantum Broadcast Channels with Cooperating Decoders: An Information-Theoretic Perspective on Quantum Repeaters. |
ISIT |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Robin Garg, Sanket Jain, Paul Dania, Arun Nataraian |
14.3 A 26GHz Full-Duplex Circulator Receiver with 53UB/400MHz(40UB/800MHz) Self-Interference Cancellation for mm-Wave Repeaters. |
ISSCC |
2021 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 284 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ >>] |
|