The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for repeaters with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1991 (15) 1994-1999 (21) 2000-2001 (18) 2002-2003 (27) 2004 (19) 2005-2006 (41) 2007 (26) 2008 (20) 2009-2010 (20) 2011-2013 (20) 2014-2017 (16) 2018-2021 (21) 2022-2023 (18) 2024 (2)
Publication types (Num. hits)
article(104) data(1) incollection(1) inproceedings(177) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 212 occurrences of 135 keywords

Results
Found 284 publication records. Showing 284 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
125Ankireddy Nalamalpu, Sriram Srinivasan, Wayne P. Burleson Boosters for driving long onchip interconnects - design issues, interconnect synthesis, and comparison with repeaters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
112Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
112Ankireddy Nalamalpu, Wayne P. Burleson Boosters for driving long on-chip interconnects: design issues, interconnect synthesis and comparison with repeaters. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF methodology, timing, interconnect, buffering
99Pathma Rathinavelu, Gottfried Schapeler, Andreas Weber 0003 UMTS Coverage and Capacity Enhancement Using Repeaters and Remote RF Heads. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF UMTS network, coverage and capacity enhancement, remote RF head, repeater
99Sudhakar Bobba, Ibrahim N. Hajj High-performance bidirectional repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
90Daniel H. Ho, Shahrokh Valaee Information Raining and Optimal Link-Layer Design for Mobile Hotspots. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mobile hotspots, graph theory, linear programming, wireless communication, medium access control, network protocols, constrained optimization, Emerging technologies, mobile environments, combinatorial algorithms, mobile communication systems, graphs and networks, network architecture and design
61Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Timing optimization in logic with interconnect. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, logic circuits, timing optimization, repeaters, logical effort
60Guoqing Chen, Eby G. Friedman Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
60Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
57Larry R. Dennison, William J. Dally, Thucydides Xanthopoulos Low-latency plesiochronous data retiming. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF telecommunication signalling, data retiming, plesiochronous data, support circuitry, undirectional signalling, timing, latency, communication networks, routers, telecommunication network routing, repeaters, repeaters, bridges, hubs
56Maged Ghoneima, Yehea I. Ismail Optimum positioning of interleaved repeaters In bidirectional buses. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay, interconnect, noise, repeaters, buses
52Andrew B. Kahng, Sherief Reda, Puneet Sharma On-Line Adjustable Buffering for Runtime Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Atul Maheshwari, Wayne P. Burleson Repeater and current-sensing hybrid circuits for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect circuits, delay, power, area
48Rodney Van Meter, Thaddeus D. Ladd, W. J. Munro, Kae Nemoto System design for a long-line quantum repeater. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF purification, quantum networking, quantum repeaters, resource scheduling, quantum information
48Ron Ho High-performance ULSI: the real limiter to interconnect scaling. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, wireless, 3D, scaling, proximity, repeaters, wires
48Rahul M. Rao, Kanak Agarwal, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka, Sani R. Nassif Approaches to run-time and standby mode leakage reduction in global buses. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pulsed buses, leakage, repeaters, MTCMOS
48Pawan Kapur, Gaurav Chandra, Krishna Saraswat Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF repeaters, power dissipation, global interconnects
48Jatan C. Shah, Sachin S. Sapatnekar Wiresizing with Buffer Placement and Sizing for Power-Delay Tradeoffs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, dynamic programming, Interconnect, sensitivity, buffer, sizing, repeaters, drivers
47Miao Qingyu, Afif Osseiran Performance Comparison Between DF relay and RF Repeaters in the Cellular System. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Mario García-Lozano, Luis Alonso 0001, Fernando J. Casadevall, Silvia Ruiz, Luís M. Correia Enhanced Analysis of WCDMA Networks with Repeaters Deployment. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Michael Moreinis, Arkadiy Morgenshtein, Israel A. Wagner, Avinoam Kolodny Logic Gates as Repeaters (LGR) for Area-Efficient Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Prashant Saxena On controlling perturbation due to repeaters during quadratic placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Mario García-Lozano, Luis Alonso 0001, Fernando J. Casadevall, Silvia Ruiz, Luís M. Correia On the Impact of Repeaters Deployment on WCDMA, Networks Planning. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Mohammad N. Patwary, Predrag B. Rapajic, Ian J. Oppermann Capacity and coverage increase with repeaters in UMTS urban cellular mobile communication environment. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Saumil Shah, Kanak Agarwal, Dennis Sylvester A New Threshold Voltage Assignment Scheme for Runtime Leakage Reduction in On-Chip Repeaters. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Prashant Saxena, Bill Halpin Modeling repeaters explicitly within analytical placement. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, placement, scaling, buffering, repeater insertion, force-directed placement, analytical placement
44Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick The scaling challenge: can correct-by-construction design help? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clocked repeaters, correct-by-construction design, design fabrics, post-RTL design, routing, interconnect, placement, logic synthesis, scaling, technology mapping, repeaters
43Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-power, delay-balanced smart repeaters for interconnects in the nanometer regime. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay-balanced, minimal-power, interconnects, repeaters
39Charbel J. Akl, Magdy A. Bayoumi Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Srividya Srinivasaraghavan, Wayne P. Burleson Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Himanshu Kaul, Dennis Sylvester Transition Aware Global Signaling (TAGS). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Yehea I. Ismail, Eby G. Friedman, José Luis Neves Repeater insertion in tree structured inductive interconnect. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Paramvir Bahl, Ranveer Chandra, Patrick P. C. Lee, Vishal Misra, Jitendra Padhye, Dan Rubenstein, Yan Yu Opportunistic use of client repeaters to improve performance of WLANs. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF rate anomaly, wireless, IEEE 802.11
34Paramvir Bahl, Ranveer Chandra, Patrick P. C. Lee, Vishal Misra, Jitendra Padhye, Dan Rubenstein, Yan Yu Opportunistic use of client repeaters to improve performance of WLANs. Search on Bibsonomy CoNEXT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Karim M. Nasr, John Cosmas, Maurice Bard, Jeff Gledhill An Echo Canceller for DVB-T/H On-Channel Repeaters. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Maged Ghoneima, Yehea I. Ismail Optimum positioning of interleaved repeaters in bidirectional buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yehea I. Ismail, Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Vivek De Skewing adjacent line repeaters to reduce the delay and energy dissipation of on-chip buses. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Guoqing Chen, Eby G. Friedman Low power repeaters driving RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Hu Xu 0002, Vasilis F. Pavlidis, Giovanni De Micheli Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing optimization, on-chip interconnect, repeater insertion, 3-D ICs
26David S. Kung 0001, Ruchir Puri CAD challenges for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Nicola Concer, Michele Petracca, Luca P. Carloni Distributed flit-buffer flow control for networks-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-insensitive protocols, network-on-chip
26Ronald Raulefs, Armin Dammann Repeating or Relaying in Wireless Systems. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ja Chun Ku, Yehea I. Ismail Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Charbel J. Akl, Magdy A. Bayoumi Transition Skew Coding: A Power and Area Efficient Encoding Technique for Global On-Chip Interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transition skew coding, global on-chip interconnects, bus encoding technique, encoding latencies, decoding latencies, 90 nm
26Salim Chowdhury, John Lillis Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion
26Ja Chun Ku, Yehea I. Ismail Thermal-aware methodology for repeater insertion in low-power VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
26Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose Thermal-aware task scheduling at the system software level. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
26Jingye Xu, Abinash Roy, Masud H. Chowdhury Power Consumption Analysis of Flip-flop Based Interconnect Pipelining. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Richard Primerano, Kevin Wanuga, Joseph Dorn, Moshe Kam, Kapil R. Dandekar Echo-Cancellation for Ultrasonic Data Transmission through a Metal Channel. Search on Bibsonomy CISS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Vinita V. Deodhar, Jeffrey A. Davis Optimization of throughput performance for low-power VLSI interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Pasquale Cocchini A methodology for optimal repeater insertion in pipelined interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli On-chip communication design: roadblocks and avenues. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF GALS, on-chip networks, latency-insensitive design
26Yu Cao 0001, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Falah R. Awwad, Mohamed Nekili Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel regeneration, VLSI, repeater, RLC interconnect
26Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl Optimal n-tier multilevel interconnect architectures for gigascale integration (GSI). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Probir Sarkar, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centricfloorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Yu Cao 0001, Xuejue Huang, Chenming Hu, Norman Chang, Shen Lin, O. Sam Nakagawa, Weize Xie Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Imed Ben Dhaou, Hannu Tenhunen, Vijay Sundararajan, Keshab K. Parhi Energy Efficient Signaling in Deep Submicron CMOS Technology. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl Minimum power and area n-tier multilevel interconnect architectures using optimal repeater insertion. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Jian Li 0061, Rajesh K. Gupta 0001 An Algorithm To Determine Mutually Exclusive Operations In Behavioral Descriptions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, Rahul Sharma Interconnect Tuning Strategies for High-Performance Ics. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Julian Culetu, Chaim Amir, John MacDonald A Practical Repeater Insertion Method in High Speed VLSI Circuits. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF migration, timing optimazation, custom sizing
26Daniel Audet, Yvon Savaria, N. Arel Pipelining communications in large VLSI/ULSI systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Azad Naeemi, James D. Meindl Carbon nanotube interconnects. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quantum wires, crosstalk, inductance, repeaters, molecular electronics, system analysis and design, system optimization
22Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy 0001 A robust edge encoding technique for energy-efficient multi-cycle interconnect. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-cycle interconnect, interconnect, encoding, repeaters
22Prashant Saxena The scaling of interconnect buffer needs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, scaling, buffers, repeaters
22Harmander Deogun, Robert M. Senger, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka A dual-VDD boosted pulsed bus technique for low power and low leakage operation. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pulsed bus, leakage, repeaters, Dual-VDD
22Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye An energy-efficient temporal encoding circuit technique for on-chip high performance buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF on-chip buses, energy-efficient, encoding, repeaters
22Srinivasa R. Sridhara, Naresh R. Shanbhag A low-power bus design using joint repeater insertion and coding. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, coding, crosstalk, repeaters
22Himanshu Kaul, Dennis Sylvester A novel buffer circuit for energy efficient signaling in dual-VDD systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip signaling, low-power, repeaters, dual-VDD
22Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl A physical model for the transient response of capacitively loaded distributed rlc interconnects. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response
22Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RC delay, routing, timing, estimation, microprocessors, floorplan, repeaters
21Erik G. Larsson, Joao Vieira, Pål K. Frenger Reciprocity Calibration of Dual-Antenna Repeaters. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Hoondong Noh, Hyungsik Ju, Junhwan Lee 6G Repeaters for Non-Terrestrial Network. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Ben Minnaert, Giuseppina Monti Optimization of a capacitive wireless power transfer system with two electric field repeaters. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Gabriel C. M. da Silva, Erik R. B. Falcão, Victor Farias Monteiro, Darlan C. Moreira, Diego Aguiar Sousa, Tarcisio F. Maciel, Francisco Rafael Marques Lima, Behrooz Makki System Level Evaluation of Network-Controlled Repeaters: Performance Improvement of Serving Cell and Interference Impact on Neighbor Cells. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Ryosuke Satoh RuLa: A Programming Language for RuleSet-based Quantum Repeaters. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Naphan Benchasattabuse, Michal Hajdusek, Rodney Van Meter Architecture and protocols for all-photonic quantum repeaters. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Yuan Zhan, Paul Hilaire, Edwin Barnes, Sophia E. Economou, Shuo Sun Performance analysis of quantum repeaters enabled by deterministically generated photonic graph states. Search on Bibsonomy Quantum The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Silas L. Fong, Juan Bucheli, Ashwin Sampath, Ahmed M. Bedewy, Michael Di Mare, Ori Shental, Muhammad Nazmul Islam A Mixed-Integer Linear Programming Approach to Deploying Base Stations and Repeaters. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Thomas Fordell, Kalle Hanhijärvi, Anders E. Wallin, Jani Myyry, Thomas Lindvall Out-of-Band Fibre-Optic Time and Frequency Transfer Using Asymmetric and Symmetric Opto-Electronic Repeaters. Search on Bibsonomy 2023   DOI  RDF
21Seul-Bi Jeon, Heui-Seon Park, Tae-Ho Jo, Suk-Seung Hwang A Location Estimation Algorithm Combined with TDOA and TOA Considering Repeaters. Search on Bibsonomy ICUFN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Ann Kang, Saikat Guha 0001, Narayanan Rengaswamy, Kaushik P. Seshadreesan Trapped Ion Quantum Repeaters with Entanglement Distillation based on Quantum LDPC Codes. Search on Bibsonomy QCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Naphan Benchasattabuse, Michal Hajdusek, Rodney Van Meter Protocols for All-Photonic Quantum Repeaters. Search on Bibsonomy QCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Daniel J. Elson, Yuta Wakayama, Noboru Yoshikane, Takehiro Tsuritani Performance Requirements for FIFO-less Multicore Fibre Repeaters in Transatlantic-class Transmission. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Reza Aghazadeh Ayoubi, Marouan Mizmizi, Dario Tagliaferri, Danilo De Donno, Umberto Spagnolini Network-Controlled Repeaters vs. Reconfigurable Intelligent Surfaces for 6G mmW Coverage Extension: A Simulative Comparison. Search on Bibsonomy MedComNet The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Maria Jesús Marco Galindo, Julià Minguillón, David García-Solórzano, Teresa Sancho-Vinuesa Why Do CS1 Students Become Repeaters? Search on Bibsonomy Rev. Iberoam. de Tecnol. del Aprendiz. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Hao Guo 0007, Charitha Madapatha, Behrooz Makki, Boris Dortschy, Lei Bao, Magnus Åström, Tommy Svensson A Comparison between Network-Controlled Repeaters and Reconfigurable Intelligent Surfaces. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Jia-Wei Ji, Yu-Feng Wu, Stephen C. Wein, Faezeh Kimiaee Asadi, Roohollah Ghobadi, Christoph Simon Proposal for room-temperature quantum repeaters with nitrogen-vacancy centers and optomechanics. Search on Bibsonomy Quantum The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Gui-Lu Long 0001, Dong Pan, Yu-Bo Sheng, Qikun Xue, Jianhua Lu, Lajos Hanzo An Evolutionary Pathway for the Quantum Internet Relying on Secure Classical Repeaters. Search on Bibsonomy IEEE Netw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Adrian Schumacher, Ruben Merz, Andreas Burg Beam Selection and Tracking for Amplify-and-Forward Repeaters. Search on Bibsonomy VTC Spring The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Giuseppe Leone, Eugenio Moro, Ilario Filippini, Antonio Capone, Danilo De Donno Towards Reliable mmWave 6G RAN: Reconfigurable Surfaces, Smart Repeaters, or Both? Search on Bibsonomy WiOpt The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Kenneth Sharman, Faezeh Kimiaee Asadi, Stephen C. Wein, Christoph Simon Quantum repeaters based on individual electron spins and nuclear-spin-ensemble memories in quantum dots. Search on Bibsonomy Quantum The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Mohamed Salah Ibrahim, Saeed R. Khosravirad, Jakub Mazgula, Harish Viswanathan, Nicholas D. Sidiropoulos Beam Selection for Ultra-Reliable Low-Latency Communication in Industrial Environment with Beamforming Repeaters. Search on Bibsonomy ICC Workshops The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Jiangyuan Yao, Kaiwen Zou, Deshun Li, Zheng Jiang Optimal deployment design of repeaters and memories in quantum networks. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Uzi Pereg, Christian Deppe, Holger Boche Quantum Broadcast Channels with Cooperating Decoders: An Information-Theoretic Perspective on Quantum Repeaters. Search on Bibsonomy ISIT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Robin Garg, Sanket Jain, Paul Dania, Arun Nataraian 14.3 A 26GHz Full-Duplex Circulator Receiver with 53UB/400MHz(40UB/800MHz) Self-Interference Cancellation for mm-Wave Repeaters. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 284 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license