Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
82 | Fadi A. Aloul, Arathi Ramani, Karem A. Sakallah, Igor L. Markov |
Solution and Optimization of Systems of Pseudo-Boolean Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(10), pp. 1415-1424, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Pseudo Boolean (PB), Max-ONE, Global Routing, Conjunctive Normal Form (CNF), Backtrack Search, Integer Linear Programming (ILP), Max-SAT, Boolean Satisfiability (SAT) |
63 | Zhaohui Fu, Sharad Malik |
On Solving the Partial MAX-SAT Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2006, 9th International Conference, Seattle, WA, USA, August 12-15, 2006, Proceedings, pp. 252-265, 2006, Springer, 3-540-37206-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
63 | Gi-Joon Nam, Karem A. Sakallah, Rob A. Rutenbar |
Hybrid Routing for FPGAs by Integrating Boolean Satisfiability with Geometric Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, Reconfigurable Computing Is Going Mainstream, 12th International Conference, FPL 2002, Montpellier, France, September 2-4, 2002, Proceedings, pp. 360-369, 2002, Springer, 3-540-44108-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
63 | Miguel F. Anjos |
An improved semidefinite programming relaxation for the satisfiability problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 102(3), pp. 589-608, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Satisfiability, Semidefinite programming, Discrete optimization |
57 | Ateet Bhalla, Inês Lynce, José T. de Sousa, João Marques-Silva 0001 |
Heuristic-Based Backtracking for Propositional Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EPIA ![In: Progress in Artificial Intelligence, 11th Protuguese Conference on Artificial Intelligence, EPIA 2003, Beja, Portugal, December 4-7, 2003, Proceedings, pp. 116-130, 2003, Springer, 3-540-20589-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
57 | Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah |
Generic ILP versus specialized 0-1 ILP: an update. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 450-457, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
56 | Malek Mouhoub, Samira Sadaoui |
Systematic versus Non-systematic Methods for Solving Incremental Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE ![In: Innovations in Applied Artificial Intelligence, 17th International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, IEA/AIE 2004, Ottawa, Canada, May 17-20, 2004. Proceedings, pp. 543-551, 2004, Springer, 3-540-22007-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Genetic Algorithms, Local Search, Branch and Bound, Propositional Satisfiability |
50 | Yu Hu 0002, Victor Shih, Rupak Majumdar, Lei He 0001 |
Exploiting Symmetries to Speed Up SAT-Based Boolean Matching for Logic Synthesis of FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(10), pp. 1751-1760, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Ateet Bhalla, Inês Lynce, José T. de Sousa, João Marques-Silva 0001 |
Heuristic-Based Backtracking Relaxation for Propositional Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 35(1-3), pp. 3-24, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Zhaohui Fu, Yinlei Yu, Sharad Malik |
Considering Circuit Observability Don't Cares in CNF Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 1108-1113, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Zhao Xing, Weixiong Zhang |
Efficient Strategies for (Weighted) Maximum Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2004, 10th International Conference, CP 2004, Toronto, Canada, September 27 - October 1, 2004, Proceedings, pp. 690-705, 2004, Springer, 3-540-23241-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Hui Xu 0001, Rob A. Rutenbar, Karem A. Sakallah |
sub-SAT: a formulation for relaxed Boolean satisfiability with applications in routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(6), pp. 814-820, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
50 | Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah |
Solving difficult instances of Boolean satisfiability in the presence of symmetry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(9), pp. 1117-1137, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
50 | Hui Xu 0001, Rob A. Rutenbar, Karem A. Sakallah |
sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002, pp. 182-187, 2002, ACM, 1-58113-460-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
50 | Inês Lynce, Luís Baptista, João Marques-Silva 0001 |
Towards Provably Complete Stochastic Search Algorithms for Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EPIA ![In: Progress in Artificial Intelligence, Knowledge Extraction, Multi-agent Systems, Logic Programming and Constraint Solving, 10th Portuguese Conference on Artificial Intelligence, EPIA 2001, Porto, Portugal, December 17-20, 2001, Proceedings, pp. 363-370, 2001, Springer, 3-540-43030-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
50 | Himanshu Jain, Edmund M. Clarke |
Efficient SAT solving for non-clausal formulas using DPLL, graphs, and watched cuts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 563-568, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
NNF, verification, Boolean satisfiability, DPLL |
50 | Florian Letombe, João Marques-Silva 0001 |
Improvements to Hybrid Incremental SAT Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 168-181, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Yinlei Yu, Sharad Malik |
Lemma Learning in SMT on Linear Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2006, 9th International Conference, Seattle, WA, USA, August 12-15, 2006, Proceedings, pp. 142-155, 2006, Springer, 3-540-37206-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
50 | Toby Walsh |
Reformulating Propositional Satisfiability as Constraint Satisfaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SARA ![In: Abstraction, Reformulation, and Approximation, 4th International Symposium, SARA 2000, Horseshoe Bay, Texas, USA, July 26-29, 2000, Proceedings, pp. 233-246, 2000, Springer, 3-540-67839-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
50 | João Marques-Silva 0001, Jordi Planes |
Algorithms for Maximum Satisfiability using Unsatisfiable Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 408-413, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Clark W. Barrett, David L. Dill, Aaron Stump |
Checking Satisfiability of First-Order Formulas by Incremental Translation to SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 14th International Conference, CAV 2002,Copenhagen, Denmark, July 27-31, 2002, Proceedings, pp. 236-249, 2002, Springer, 3-540-43997-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Satisfiability, First-Order Logic, Decision Procedures, Propositional Satisfiability |
44 | Inês Lynce, Ana Graça, João Marques-Silva 0001, Arlindo L. Oliveira |
Haplotype Inference with Boolean Constraint Solving: An Overview. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI (1) ![In: 20th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2008), November 3-5, 2008, Dayton, Ohio, USA, Volume 1, pp. 92-100, 2008, IEEE Computer Society, 978-0-7695-3440-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
44 | António Morgado 0001, Paulo J. Matos, Vasco M. Manquinho, João Marques-Silva 0001 |
Counting Models in Integer Domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2006, 9th International Conference, Seattle, WA, USA, August 12-15, 2006, Proceedings, pp. 410-423, 2006, Springer, 3-540-37206-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Rolf Drechsler, Görschwin Fey, Sebastian Kinder |
An Integrated Approach for Combining BDD and SAT Provers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India, pp. 237-242, 2006, IEEE Computer Society, 0-7695-2502-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Sean Safarpour, Andreas G. Veneris, Gregg Baeckler, Richard Yuan |
Efficient SAT-based Boolean matching for FPGA technology mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 466-471, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGA technology mapping, Boolean satisfiability, Boolean matching |
44 | Lyndon Drake, Alan M. Frisch, Toby Walsh |
Automatic Generation of Implied Clauses for SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2002, 8th International Conference, CP 2002, Ithaca, NY, USA, September 9-13, 2002, Proceedings, pp. 783, 2002, Springer, 3-540-44120-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
44 | Hidetomo Nabeshima, Koji Iwanuma, Katsumi Inoue |
Effective SAT Planning by Speculative Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Joint Conference on Artificial Intelligence ![In: AI 2002: Advances in Artificial Intelligence, 15th Australian Joint Conference on Artificial Intelligence, Canberra, Australia, December 2-6, 2002, Proceedings, pp. 726-728, 2002, Springer, 3-540-00197-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
44 | Priyank Kalla, Zhihong Zeng, Maciej J. Ciesielski, ChiLai Huang |
A BDD-Based Satisfiability Infrastructure Using the Unate Recursive Paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 232-236, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
44 | Paulo F. Flores, Horácio C. Neto, João P. Marques Silva |
An exact solution to the minimum size test pattern problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 6(4), pp. 629-644, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
propositional satisfiability (SAT), verification and test, built-in self-test (BIST), Automatic test pattern generation (ATPG), integer linear programming (ILP) |
44 | Zhaohui Fu, Sharad Malik |
Solving the minimum-cost satisfiability problem using SAT based branch-and-bound search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 852-859, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
MinCostSAT, optimization, branch-and-bound, Boolean satisfiability |
44 | Daijue Tang, Yinlei Yu, Darsh Ranjan, Sharad Malik |
Analysis of Search Based Algorithms for Satisfiability of Propositional and Quantified Boolean Formulas Arising from Circuit State Space Diameter Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT (Selected Papers ![In: Theory and Applications of Satisfiability Testing, 7th International Conference, SAT 2004, Vancouver, BC, Canada, May 10-13, 2004, Revised Selected Papers, pp. 292-305, 2004, Springer, 3-540-27829-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
44 | Toby Walsh |
SAT v CSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2000, 6th International Conference, Singapore, September 18-21, 2000, Proceedings, pp. 441-456, 2000, Springer, 3-540-41053-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
44 | João P. Marques Silva, Karem A. Sakallah |
Boolean satisfiability in electronic design automation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 675-680, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
38 | Daniel Tille, Rolf Drechsler |
A fast untestability proof for SAT-based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2009, April 15-17, 2009, Liberec, Czech Republic, pp. 38-43, 2009, IEEE Computer Society, 978-1-4244-3341-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
38 | Matti Järvisalo, Tommi A. Junttila, Ilkka Niemelä |
Justification-Based Local Search with Adaptive Noise Strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 15th International Conference, LPAR 2008, Doha, Qatar, November 22-27, 2008. Proceedings, pp. 31-46, 2008, Springer, 978-3-540-89438-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
38 | Xiuqin Wang, Guangsheng Ma, Hao Wang |
A Novel Method for All Solutions SAT Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: Ninth ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2008, In conjunction with Second International Workshop on Advanced Internet Technology and Applications, August 6-8, 2008, Phuket, Thailand, pp. 41-45, 2008, IEEE Computer Society, 978-0-7695-3263-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
All Solutions, Observability Don't Cares, Circuit Structure, Boolean Satisfiability |
38 | Jinji Yang, Kaile Su, Qingliang Chen |
Improving Encoding Efficiency for Bounded Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TASE ![In: Second IEEE/IFIP International Symposium on Theoretical Aspects of Software Engineering, TASE 2008, June 17-19, 2008, Nanjing, China, pp. 31-38, 2008, IEEE Computer Society, 978-0-7695-3249-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
encoding, SAT, Bounded Model Checking |
38 | Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler |
SAT-based ATPG for Path Delay Faults in Sequential Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 3671-3674, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Michael Codish |
Proving Termination with (Boolean) Satisfaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LOPSTR ![In: Logic-Based Program Synthesis and Transformation, 17th International Symposium, LOPSTR 2007, Kongens Lyngby, Denmark, August 23-24, 2007, Revised Selected Papers, pp. 1-7, 2007, Springer, 978-3-540-78768-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Abdelraouf Ishtaiwi, John Thornton 0001, Abdul Sattar 0001 |
Weight Redistribution for Unweighted MAX-SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Conference on Artificial Intelligence ![In: AI 2007: Advances in Artificial Intelligence, 20th Australian Joint Conference on Artificial Intelligence, Gold Coast, Australia, December 2-6, 2007, Proceedings, pp. 687-693, 2007, Springer, 978-3-540-76926-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Peter Hawkins, Peter J. Stuckey |
A Hybrid BDD and SAT Finite Domain Constraint Solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, 8th International Symposium, PADL 2006, Charleston, SC, USA, January 9-10, 2006, Proceedings, pp. 103-117, 2006, Springer, 3-540-30947-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Alan Mishchenko, Satrajit Chatterjee, Robert K. Brayton, Niklas Eén |
Improvements to combinational equivalence checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 836-843, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Sean Safarpour, Andreas G. Veneris, Rolf Drechsler |
Integrating observability don't cares in all-solution SAT solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Enrico Giunchiglia, Marco Maratea |
optsat: A Tool for Solving SAT Related Optimization Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JELIA ![In: Logics in Artificial Intelligence, 10th European Conference, JELIA 2006, Liverpool, UK, September 13-15, 2006, Proceedings, pp. 485-489, 2006, Springer, 3-540-39625-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Inês Lynce, João Marques-Silva 0001 |
Efficient data structures for backtrack search SAT solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Math. Artif. Intell. ![In: Ann. Math. Artif. Intell. 43(1), pp. 137-152, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
backtrack search, propositional satisfiability |
38 | Kameshwar Chandrasekar, Michael S. Hsiao |
State Set Management for SAT-based Unbounded Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 585-590, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
38 | Enrico Giunchiglia, Marco Maratea |
Evaluating Search Strategies and Heuristics for Efficient Answer Set Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AI*IA ![In: AI*IA 2005: Advances in Artificial Intelligence, 9th Congress of the Italian Association for Artificial Intelligence, Milan, Italy, September 21-23, 2005, Proceedings, pp. 122-134, 2005, Springer, 3-540-29041-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
38 | Liang Zhang 0012, Mukul R. Prasad, Michael S. Hsiao |
Incremental deductive & inductive reasoning for SAT-based bounded model checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 502-509, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
38 | Chao Wang 0001, HoonSang Jin, Gary D. Hachtel, Fabio Somenzi |
Refining the SAT decision ordering for bounded model checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 535-538, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
decision heuristic, SAT, bounded model checking |
38 | Gianpiero Cabodi, Paolo Camurati, Stefano Quer |
Can BDDs compete with SAT solvers on bounded model checking? ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 117-122, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
model checking, formal verification, SAT, BDDs |
38 | Farzan Fallah, Srinivas Devadas, Kurt Keutzer |
Functional vector generation for HDL models using linearprogramming and Boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(8), pp. 994-1002, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
38 | Per Bjesse, Koen Claessen |
SAT-Based Verification without State Space Traversal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, Third International Conference, FMCAD 2000, Austin, Texas, USA, November 1-3, 2000, Proceedings, pp. 372-389, 2000, Springer, 3-540-41219-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
38 | João Marques-Silva 0001, Thomas Glass |
Combinational Equivalence Checking Using Satisfiability and Recursive Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 1999 Design, Automation and Test in Europe (DATE '99), 9-12 March 1999, Munich, Germany, pp. 145-149, 1999, IEEE Computer Society / ACM, 0-7695-0078-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Boolean Satisfiability, Recursive Learning, Combinational Equivalence Checking |
38 | Peixin Zhong, Margaret Martonosi, Pranav Ashar, Sharad Malik |
Solving Boolean Satisfiability with Dynamic Hardware Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm, 8th International Workshop, FPL'98, Tallinn, Estonia, August 31 - September 3, 1998, Proceedings, pp. 326-335, 1998, Springer, 3-540-64948-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
38 | Farinaz Koushanfar, Jennifer L. Wong, Jessica Feng, Miodrag Potkonjak |
ILP-based engineering change. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 910-915, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
engineering change, satisfiability(SAT), synthesis, integer linear programming |
38 | Enrico Giunchiglia, Marco Maratea |
Improving Plan Quality in SAT-Based Planning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AI*IA ![In: AI*IA 2009: Emergent Perspectives in Artificial Intelligence, XIth International Conference of the Italian Association for Artificial Intelligence, Reggio Emilia, Italy, December 9-12, 2009, Proceedings, pp. 253-263, 2009, Springer, 978-3-642-10290-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
38 | João Marques-Silva 0001, Inês Lynce, Vasco M. Manquinho |
Symmetry Breaking for Maximum Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 15th International Conference, LPAR 2008, Doha, Qatar, November 22-27, 2008. Proceedings, pp. 1-15, 2008, Springer, 978-3-540-89438-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
38 | Enrico Giunchiglia, Marco Maratea |
SAT-Based Planning with Minimal-#actions Plans and "soft" Goals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AI*IA ![In: AI*IA 2007: Artificial Intelligence and Human-Oriented Computing, 10th Congress of the Italian Association for Artificial Intelligence, Rome, Italy, September 10-13, 2007, Proceedings, pp. 422-433, 2007, Springer, 978-3-540-74781-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Ryan Williams 0001 |
Inductive Time-Space Lower Bounds for Sat and Related Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Complex. ![In: Comput. Complex. 15(4), pp. 433-470, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
68Q17, Subject classification |
38 | Alan Mishchenko, Jin S. Zhang, Subarnarekha Sinha, Jerry R. Burch, Robert K. Brayton, Malgorzata Chrzanowska-Jeske |
Using simulation and satisfiability to compute flexibilities in Boolean networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(5), pp. 743-755, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Kameshwar Chandrasekar, Michael S. Hsiao |
Integration of Learning Techniques into Incremental Satisfiability for Efficient Path-Delay Fault Test Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 1002-1007, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
38 | Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah |
Dynamic symmetry-breaking for improved Boolean optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 445-450, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
38 | Gi-Joon Nam, Fadi A. Aloul, Karem A. Sakallah, Rob A. Rutenbar |
A Comparative Study of Two Boolean Formulations of FPGA Detailed Routing Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(6), pp. 688-696, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
38 | Jennifer L. Wong, Gang Qu 0001, Miodrag Potkonjak |
Optimization-intensive watermarking techniques for decision problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(1), pp. 119-127, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
38 | Fadi A. Aloul, Igor L. Markov, Karem A. Sakallah |
Shatter: efficient symmetry-breaking for boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 836-839, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
clause learning, logic simplification, routing, symmetries, SAT, CNF, backtrack search, graph automorphism |
37 | Igor Gammer, Eyal Amir |
Solving Satisfiability in Ground Logic with Equality by Efficient Conversion to Propositional Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SARA ![In: Abstraction, Reformulation, and Approximation, 7th International Symposium, SARA 2007, Whistler, Canada, July 18-21, 2007, Proceedings, pp. 169-183, 2007, Springer, 978-3-540-73579-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
37 | Daijue Tang, Sharad Malik |
Solving Quantified Boolean Formulas with Circuit Observability Don't Cares. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2006, 9th International Conference, Seattle, WA, USA, August 12-15, 2006, Proceedings, pp. 368-381, 2006, Springer, 3-540-37206-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Seda Ogrenci Memik, Farzan Fallah |
Accelerated SAT-based Scheduling of Control/Data Flow Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 395-, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
37 | Felip Manyà, Ramón Béjar, Gonzalo Escalada-Imaz |
The satisfiability problem in regular CNF-formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 2(3), pp. 116-123, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Multiple-valued regular CNF-formulas, benchmarks, threshold, satisfiability problem |
31 | Miquel Bofill, Dídac Busquets, Mateu Villaret |
A declarative approach to robust weighted Max-SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPDP ![In: Proceedings of the 12th International ACM SIGPLAN Conference on Principles and Practice of Declarative Programming, July 26-28, 2010, Hagenberg, Austria, pp. 67-76, 2010, ACM, 978-1-4503-0132-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
max-sat, robustness |
31 | Moshe Y. Vardi |
Symbolic Techniques in Propositional Satisfiability Solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 2-3, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Miroslav N. Velev, Ping Gao 0002 |
Efficient SAT-based techniques for Design of Experiments by using static variable ordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 371-376, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Peng Guo, Wenjian Luo, Zhifang Li, Houjun Liang, Xufa Wang |
Hybridizing Evolutionary Negative Selection Algorithm and Local Search for Large-Scale Satisfiability Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISICA ![In: Advances in Computation and Intelligence, 4th International Symposium, ISICA 2009, Huangshi, China, Ocotober 23-25, 2009, Proceedings, pp. 248-257, 2009, Springer, 978-3-642-04842-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Evolutionary Negative Selection Algorithm, Flip Heuristic, SAT |
31 | Miroslav N. Velev, Ping Gao 0002 |
Comparison of Boolean Satisfiability Encodings on FPGA Detailed Routing Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1268-1273, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Stephan Eggersglüß, Rolf Drechsler |
On the Influence of Boolean Encodings in SAT-Based ATPG for Path Delay Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 22-23 May 2008, Dallas, Texas, USA, pp. 94-99, 2008, IEEE Computer Society, 978-0-7695-3155-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Boolean Encodings, ATPG, SAT, Path Delay Faults |
31 | Loganathan Lingappan, Niraj K. Jha |
Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(5), pp. 518-530, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Ming-e Jing, Dian Zhou, Pushan Tang, Xiaofang Zhou, Hua Zhang 0019 |
Solving SAT problem by heuristic polarity decision-making algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 50(6), pp. 915-925, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
complete algorithm, decision-making, DPLL, SAT problem |
31 | Miroslav N. Velev |
Exploiting hierarchy and structure to efficiently solve graph coloring as SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 135-142, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Ana Graça, João Marques-Silva 0001, Inês Lynce, Arlindo L. Oliveira |
Efficient Haplotype Inference with Pseudo-boolean Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AB ![In: Algebraic Biology, Second International Conference, AB 2007, Castle of Hagenberg, Austria, July 2-4, 2007, Proceedings, pp. 125-139, 2007, Springer, 978-3-540-73432-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
pure parsimony, pseudo-Boolean optimization, haplotype inference |
31 | Enrico Giunchiglia, Yuliya Lierler, Marco Maratea |
Answer Set Programming Based on Propositional Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Autom. Reason. ![In: J. Autom. Reason. 36(4), pp. 345-377, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
answer set programming, propositional satisfiability |
31 | DoRon B. Motter, Jarrod A. Roy, Igor L. Markov |
Resolution cannot polynomially simulate compressed-BFS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Math. Artif. Intell. ![In: Ann. Math. Artif. Intell. 44(1-2), pp. 121-156, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Junhao Shi, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel |
PASSAT: Efficient SAT-Based Test Pattern Generation for Industrial Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), New Frontiers in VLSI Design, 11-12 May 2005, Tampa, FL, USA, pp. 212-217, 2005, IEEE Computer Society, 0-7695-2365-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Daniel Singer, Alain Vagner |
Parallel Resolution of the Satisfiability Problem (SAT) with OpenMP and MPI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 6th International Conference, PPAM 2005, Poznan, Poland, September 11-14, 2005, Revised Selected Papers, pp. 380-388, 2005, Springer, 3-540-34141-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Arathi Ramani, Fadi A. Aloul, Igor L. Markov, Karem A. Sakallah |
Breaking Instance-Independent Symmetries in Exact Graph Coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 324-331, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Ruiming Li, Dian Zhou, Donglei Du |
Satisfiability and integer programming as complementary tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 879-882, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah |
ShatterPB: symmetry-breaking for pseudo-Boolean formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 883-886, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada |
High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 149-154, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Jianzhou Zhao, Jinian Bian, Weimin Wu |
PFGASAT- A Genetic SAT Solver Combining Partitioning and Fuzzy Strategie. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 28th International Computer Software and Applications Conference (COMPSAC 2004), Design and Assessment of Trustworthy Software-Based Systems, 27-30 September 2004, Hong Kong, China, Proceedings, pp. 108-113, 2004, IEEE Computer Society, 0-7695-2209-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Mohammad Awedh, Fabio Somenzi |
Increasing the Robustness of Bounded Model Checking by Computing Lower Bounds on the Reachable States. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 5th International Conference, FMCAD 2004, Austin, Texas, USA, November 15-17, 2004, Proceedings, pp. 230-244, 2004, Springer, 3-540-23738-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Gianpiero Cabodi, Sergio Nocco, Stefano Quer |
Improving SAT-Based Bounded Model Checking by Means of BDD-Based Approximate Traversals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10898-10905, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Gi-Joon Nam, Karem A. Sakallah, Rob A. Rutenbar |
A new FPGA detailed routing approach via search-based Booleansatisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(6), pp. 674-684, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Inês Lynce, João Marques-Silva 0001 |
Tuning Randomization in Backtrack Search SAT Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming - CP 2002, 8th International Conference, CP 2002, Ithaca, NY, USA, September 9-13, 2002, Proceedings, pp. 769, 2002, Springer, 3-540-44120-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Inês Lynce, João Marques-Silva 0001 |
The Effect of Nogood Recording in DPLL-CBJ SAT Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Workshop on Constraint Solving and Constraint Logic Programming ![In: Recent Advances in Constraints, Joint ERCIM/CologNet International Workshop on Constraint Solving and Constraint Logic Programming, Cork, Ireland, June 19-21, 2002. Selected Papers, pp. 144-158, 2002, Springer, 3-540-00986-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Per Bjesse, Tim Leonard, Abdel Mokkedem |
Finding Bugs in an Alpha Microprocessor Using Satisfiability Solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 13th International Conference, CAV 2001, Paris, France, July 18-22, 2001, Proceedings, pp. 454-464, 2001, Springer, 3-540-42345-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Viresh Paruthi, Andreas Kuehlmann |
Equivalence Checking Combining a Structural SAT-Solver, BDDs, and Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, ICCD '00, Austin, Texas, USA, September 17-20, 2000, pp. 459-464, 2000, IEEE Computer Society, 0-7695-0801-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Tomi Janhunen, Ilkka Niemelä, Mark Sevalnev |
Computing Stable Models via Reductions to Difference Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPNMR ![In: Logic Programming and Nonmonotonic Reasoning, 10th International Conference, LPNMR 2009, Potsdam, Germany, September 14-18, 2009. Proceedings, pp. 142-154, 2009, Springer, 978-3-642-04237-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Marcílio Mendonça, Andrzej Wasowski, Krzysztof Czarnecki 0001 |
SAT-based analysis of feature models is easy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPLC ![In: Software Product Lines, 13th International Conference, SPLC 2009, San Francisco, California, USA, August 24-28, 2009, Proceedings, pp. 231-240, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
31 | Warren A. Hunt Jr., Erik Reeber |
A SAT-based procedure for verifying finite state machines in ACL2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACL2 ![In: Proceedings of the Sixth International Workshop on the ACL2 Theorem Prover and its Applications, ACL2 2006, Seattle, Washington, USA, August 15-16, 2006, pp. 127-135, 2006, ACM, 0-9788493-0-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
satisfiability solving, theorem proving, hardware verification, ACL2 |
31 | Fadi A. Aloul, Assim Sagahyroon |
Estimation of the weighted maximum switching activity in combinational CMOS circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Loganathan Lingappan, Niraj K. Jha |
Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 23rd IEEE VLSI Test Symposium (VTS 2005), 1-5 May 2005, Palm Springs, CA, USA, pp. 418-423, 2005, IEEE Computer Society, 0-7695-2314-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|