The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase software-pipelining (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1990 (15) 1991-1993 (24) 1994 (17) 1995 (15) 1996 (15) 1997-1998 (25) 1999-2000 (23) 2001 (16) 2002 (20) 2003-2004 (27) 2005 (18) 2006 (15) 2007 (21) 2008 (19) 2009 (15) 2010-2014 (15) 2015-2024 (12)
Publication types (Num. hits)
article(65) book(1) incollection(2) inproceedings(242) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 469 occurrences of 187 keywords

Results
Found 312 publication records. Showing 312 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
143Jian Wang, Guang R. Gao Pipelining-Dovetailing: A Transformation to Enhance Software Pipelining for Nested Loops. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Very Long Instruction Word(VLIW), Instruction-Level Parallelism, Software Pipelining, Superscalar, Nested Loop, Loop Scheduling, Fine-Grain Parallelism
122Monica S. Lam Software pipelining: an effective scheduling technique for VLIW machines (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
101Reese B. Jones, Vicki H. Allan Software pipelining: a comparison and improvement. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF recognition of parallelism, software pipelining, operation scheduling
95João M. P. Cardoso Dynamic loop pipelining in data-driven architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compilation, reconfigurable computing, software pipelining, dataflow, data-driven architectures
88Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon Time Optimal Software Pipelining of Loops with Control Flows. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compiler optimization, instruction-level parallelism, software pipelining, VLIW
78Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao Single-dimension software pipelining for multidimensional loops. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software pipelining, loop transformation, modulo scheduling
76Mark G. Stoodley, Corinna G. Lee Software Pipelining Loops with Conditional Branches. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
73Won So, Alexander G. Dean Complementing software pipelining with software thread integration. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TI C6000, DSP, software pipelining, VLIW, stream programming, coarse-grain parallelism, software thread integration
69Haitao Wei, Junqing Yu, Huafei Yu, Guang R. Gao Minimizing communication in rate-optimal software pipelining for stream programs. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dfbrook, multi-core, software pipelining, cell processor, stream programs
67Dragan Milicev, Zoran Jovanovic A Formal Model of Software Pipelining Loops with Conditions. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF software pipelining loops, PSP model, parallel programming, finite state machine, formal model, software pipelining, parallelizing loops, conditional branches
63Elana D. Granston, Eric Stotzer, Joe Zbiciak Software Pipelining Irregular Loops on the TMS320C6000 VLIW DSP Architecture. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF WHILE loops, software pipelining, digital signal processors, VLIW architectures
62Monica Lam 0001 Software Pipelining: An Effective Scheduling Technique for VLIW Machines. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
62Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon Optimal software pipelining of loops with control flows. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction-level parallelism, software pipelining, VLIW
61Miodrag Potkonjak, Jan M. Rabaey Optimizing throughput and resource utilization using pipelining: Transformation based approach. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
61Noureddine Chabini, Wayne H. Wolf An approach for integrating basic retiming and software pipelining. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, system-on-chip, timings, instruction-level parallelism, software pipelining, VLIW, retiming, superscalar processor, peak power, code size
61Qingfeng Zhuge, Zili Shao, Edwin Hsing-Mean Sha Optimal Code Size Reduction for Software-Pipelined Loops on DSP Applications. Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Scheduling, Software pipelining, Retiming, DSP processors
60Francisco Barat, Murali Jayapala, Pieter Op de Beeck, Geert Deconinck Software Pipelining for Coarse-Grained Reconfigurable Instruction Set Processors. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coarse grained logic, code generation, software pipelining, vliw, reconfigurable processor, spatial computation
60Alexander Aiken, Alexandru Nicolau, Steven Novack Resource-Constrained Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Software pipelining, instruction scheduling, program optimization, global scheduling, fine-grain parallelism
60Jian Wang 0046, Andreas Krall, M. Anton Ertl, Christine Eisenbeis Software pipelining with register allocation and spilling. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF instruction-level parallelism, register allocation, software pipelining, loop scheduling, register spilling
59Mohammed Fellahi, Albert Cohen 0001 Software Pipelining in Nested Loops with Prolog-Epilog Merging. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
56Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor A comparative evaluation of software techniques to hide memory latency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches
54Hongbo Rong, Alban Douillet, Ramaswamy Govindarajan, Guang R. Gao Code Generation for Single-Dimension Software Pipelining of Multi-Dimensional Loops. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Haibo Lin, Wenlong Li, Zhizhong Tang Overcoming Static Register Pressure for Software Pipelining in the Itanium Architecture. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
53Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon A First Step Towards Time Optimal Software Pipelining of Loops with Control Flows. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
53Cagdas Akturan, Margarida F. Jacome RS-FDRA: a register sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, software pipelining, retiming, optimizing compilers, VLIW processors
53Pierre-Yves Calland, Alain Darte, Yves Robert Circuit Retiming Applied to Decomposed Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF circuit retiming, Software pipelining, list scheduling, modulo scheduling, cyclic scheduling
52Naohiro Ishii, Hiroaki Ogi, Tsubasa Mochizuki, Kazunori Iwata 0001 Parallelism Improvements of Software Pipelining by Combining Spilling with Rematerialization. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Bogong Su, Jian Wang 0046, Erh-Wen Hu, Joseph B. Manzano Software De-Pipelining Technique. Search on Bibsonomy SCAM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Hui Liu 0006, Zili Shao, Meng Wang 0005, Junzhao Du, Chun Jason Xue, Zhiping Jia Combining Coarse-Grained Software Pipelining with DVS for Scheduling Real-Time Periodic Dependent Tasks on Multi-Core Embedded Systems. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Periodic dependent tasks, Scheduling, Multimedia, Real-time, Dynamic voltage scaling (DVS), Multi-core, Software pipelining, Retiming
51Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson Latency-tolerant software pipelining in a production compiler. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic
51Tao Yu, Zhizhong Tang, Chihong Zhang, Jun Luo Control Mechanism for Software Pipelining on Nested Loop. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ILSP, software pipelining, VLIW, dataflow, nested loop
49Reese B. Jones, Vicki H. Allan Software Pipelining: An Evaluation of Enhanced Pipelining. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF software pipelining, instruction scheduling, loop optimization, fine-grain parallelism
49Kalyan Muthukumar, Gautam Doshi Software Pipelining of Nested Loops. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
49Mikhail Smelyanskiy, Gary S. Tyson, Edward S. Davidson Register Queues: A New Hardware/Software Approach to Efficient Software Pipelining. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Kieron Turkington, Turkington A. Constantinides, Kostas Masselos, Peter Y. K. Cheung Outer Loop Pipelining for Application Specific Datapaths in FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao Single-Dimension Software Pipelining for Multi-Dimensional Loops. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Florent Blachot, Benoît Dupont de Dinechin, Guillaume Huard SCAN: A Heuristic for Near-Optimal Software Pipelining. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Guang R. Gao, Herbert H. J. Hum, Yue-Bong Wong Towards efficient fine-grain software pipelining. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
45Daniel Kästner, Markus Pister 0002 Generic Software Pipelining at the Assembly Level. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PROPAN, software pipelining, modulo scheduling, postpass optimization
45Peter Pfahler, Georg Piepenbrock A Comparison of Modulo Scheduling Techniques for Software Pipelining. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, Software Pipelining, VLIW, Superscalar Processors
45Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multi-dimensional loops. Search on Bibsonomy PLDI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
44Chihong Zhang, Zhizhong Tang An Improvement on Data Dependence Analysis Supporting Software Pipelining Technique. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
44Vincent Van Dongen, Guang R. Gao, Qi Ning A Polynomial Time Method for Optimal Software Pipelining. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
44Bogong Su, Jian Wang 0046, Zhizhong Tang, Wei Zhao, Yimin Wu A software pipelining based VLIW architecture and optimizing compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
44Qingfeng Zhuge, Zili Shao, Edwin Hsing-Mean Sha Timing Optimization of Nested Loops Considering Code Size for DSP Applications. Search on Bibsonomy ICPP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Sid Ahmed Ali Touati On the Periodic Register Need in Software Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Periodic Register Requirement, MAXLIVE, Periodic Register Sufficiency, Stage Scheduling, Instruction Level Parallelism, Software Pipelining
44G. X. Tyson, M. Smelyanskyi, Edward S. Davidson Evaluating the Use of Register Queues in Software Pipelined Loops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modulo variable expansion, rotating register file, register queues, register connection, Software pipelining, VLIW
43Jean-Baptiste Tristan, Xavier Leroy A simple, verified validator for software pipelining. Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF software pipelining, translation validation, verified compilers, symbolic evaluation
43Ram Rangan, Neil Vachharajani, Guilherme Ottoni, David I. August Performance scalability of decoupled software pipelining. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Decoupled software pipelining, performance analysis
43Noureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiphase, sequential circuit, software pipelining, clock, Retiming
43François R. Boyer, El Mostapha Aboulhamid, Yvon Savaria, Michel Boyer Optimal design of synchronous circuits using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software pipelining, retiming, Resynthesis
43Soo-Mook Moon, Kemal Ebcioglu Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF global instruction scheduling, speculative code motion, instruction-level parallelism, software pipelining, VLIW, superscalar
43Bogong Su, Stanley Habib, Wei Zhao, Jian Wang 0046, Youfeng Wu A study of pointer aliasing for software pipelining using run-time disambiguation. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF compensation code, pointer aliasing, rerollability, run-time disambiguation, software pipelining
43B. Ramakrishna Rau Iterative modulo scheduling: an algorithm for software pipelining loops. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF software pipelining, instruction scheduling, modulo scheduling, loop scheduling
42Gang-Ryung Uh Tailoring Software Pipelining for Effective Exploitation of Zero Overhead Loop Buffer. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41SangMin Shim, Soo-Mook Moon Split-Path Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multipath loops, enhanced pipeline scheduling, all-path pipelining, Instruction-level parallelism, software pipelining, modulo scheduling
41Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
41Ramaswamy Govindarajan, Guang R. Gao, Palash Desai Minimizing Buffer Requirements under Rate-Optimal Schedule in Regular Dataflow Networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF buffer minimization, Digital Signal Processing (DSP) computation, Multi-Rate Software Pipelining, Regular Stream Flow Graphs, software pipelining, dataflow graphs
39Yoshiyuki Yamashita, Masato Tsuru Implementing Fast Packet Filters by Software Pipelining on x86 Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38John C. Ruttenberg, Guang R. Gao, Woody Lichtenstein, Artour Stoutchinin Software Pipelining Showdown: Optimal vs. Heuristic Methods in a Production Compiler. Search on Bibsonomy PLDI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Toshio Nakatani, Kemal Ebcioglu Making Compaction-Based Parallelization Affordable. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compaction-based parallelization, code explosion problem, software lookahead heuristic, VLIW parallelizing compiler, branch-intensive code, AIX utilities, fgrep, sed, parallel programming, parallel architectures, compress, program, sort, instruction-level parallelism, software pipelining, pipeline processing, instruction sets, loop parallelization, yacc
37Cagdas Akturan, Margarida F. Jacome RS-FDRA: A register-sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Cagdas Akturan, Margarida F. Jacome FDRA: A Software-Pipelining Algorithm for Embedded VLIW Processors. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Qi Ning, Guang R. Gao A Novel Framework of Register Allocation for Software Pipelining. Search on Bibsonomy POPL The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36Lei Gao, David Zaretsky, Gaurav Mittal, Dan Schonfeld, Prith Banerjee A software pipelining algorithm in high-level synthesis for FPGA architectures. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Easwaran Raman, Guilherme Ottoni, Arun Raman, Matthew J. Bridges, David I. August Parallel-stage decoupled software pipelining. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF doall, dswp, tlp, automatic parallelization, multi-core architectures, pipelined parallelism
36Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu Exploiting Software Pipelining for Network-on-Chip architectures. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Alban Douillet, Hongbo Rong, Guang R. Gao Multi-dimensional Kernel Generation for Loop Nest Software Pipelining. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Ke Zhou 0001, Zhongying Niu Decease I/O Mean Response Time Using Software Pipelining. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Raya Leviathan, Amir Pnueli Validating software pipelining optimizations. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, verification, compilers, pipeline processors, translation validation
36Josep Llosa, Mateo Valero, Eduard Ayguadé Heuristics for Register-Constrained Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Guang R. Gao, Herbert H. J. Hum, Yue-Bong Wong An Efficient Scheme for Fine-Grain Software Pipelining. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
35Hugo Venturini, Frédéric Riss, Jean-Claude Fernandez, Miguel Santana Non-transparent debugging for software-pipelined loops. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-transparent debugging, compiler, software-pipelining, debugger
34Bogong Su, Shiyuan Ding, Jian Wang 0046, Jinshi Xia GURPR - a method for global software pipelining. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
34Chen Ding, Steve Carr 0001, Philip H. Sweany Modulo Scheduling with Cache Reuse Information. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Glenn Altemose, Cindy Norris Register pressure responsive software pipelining. Search on Bibsonomy SAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register allocation, software pipelining
34Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF superscalar and VLIW architectures, Instruction-level parallelism, integer linear programming, software pipelining, instruction scheduling
34Pierre-Yves Calland, Alain Darte, Yves Robert A New Guaranteed Heuristic for the Software Pipelining Problem. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit retiming, guaranteed heuristic, software pipelining, list scheduling, cyclic scheduling
34Mounira Bachir, Sid Ahmed Ali Touati, Albert Cohen 0001 Post-pass periodic register allocation to minimise loop unrolling degree. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded code optimisation, periodic register allocation, software pipelining, loop unrolling
34Christopher Zimmer 0001, Stephen Roderick Hines, Prasad A. Kulkarni, Gary S. Tyson, David B. Whalley Facilitating compiler optimizations through the dynamic mapping of alternate register structures. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register queues, compiler optimizations, software pipelining
34Qingfeng Zhuge, Bin Xiao 0001, Edwin Hsing-Mean Sha Code size reduction technique and implementation for software-pipelined DSP applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, software pipelining, Retiming, DSP processors
34Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero MIRS: Modulo Scheduling with Integrated Register Spilling. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Register Allocation, Software Pipelining, Spill Code
34Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Improved spill code generation for software pipelined loops. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction-level parallelism, register allocation, software pipelining, spill code
33Siamak Arya, Howard Sachs, Sreeram Duvvuru An architecture for high instruction level parallelism. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution
29Perttu Salmela, Pekka Jääskeläinen, Tuomas Järvinen, Jarmo Takala Software Pipelining Support for Transport Triggered Architecture Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Yoshiyuki Yamashita, Masato Tsuru Software Pipelining for Packet Filters. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Lin Qiao, Weitong Huang, Zhizhong Tang A Dynamic Data Dependence Analysis Approach for Software Pipelining. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Steve Carr 0001, Chen Ding, Philip H. Sweany Improving Software Pipelining with Unroll-and-Jam. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Erik R. Altman, Ramaswamy Govindarajan, Guang R. Gao Scheduling and Mapping: Software Pipelining in the Presence of Structural Hazards. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao Minimizing register requirements under resource-constrained rate-optimal software pipelining. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Kemal Ebcioglu A compilation technique for software pipelining of loops with conditional jumps. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
28Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multidimensional loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, Software pipelining
28F. Jesús Sánchez, Antonio González 0001 Cache Sensitive Modulo Scheduling. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLIW machines, Software pipelining, software prefetching, locality analysis
27Jialu Huang, Arun Raman, Thomas B. Jablin, Yun Zhang 0005, Tzu-Han Hung, David I. August Decoupled software pipelining creates parallelization opportunities. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DSWP, enabling transformation, parallelization, multicore, speculation
27Yuanming Zhang, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba Clustered Decoupled Software Pipelining on Commodity CMP. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Guilherme Ottoni, Ram Rangan, Adam Stoler, David I. August Automatic Thread Extraction with Decoupled Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yanjun Zhang, Hu He 0001, Yihe Sun A new register file access architecture for software pipelining in VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August Decoupled Software Pipelining with the Synchronization Array. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 312 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license