The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase temperature-aware (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000-2005 (21) 2006 (18) 2007 (29) 2008 (31) 2009-2010 (29) 2011 (20) 2012-2013 (28) 2014 (20) 2015 (16) 2016-2017 (22) 2018-2019 (23) 2020 (15) 2021-2022 (30) 2023-2024 (13)
Publication types (Num. hits)
article(127) inproceedings(180) phdthesis(8)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 111 occurrences of 69 keywords

Results
Found 315 publication records. Showing 315 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
87Wei Huang 0004, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan, Shougata Ghosh, Sivakumar Velusamy Compact thermal modeling for temperature-aware design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF temperature-aware computing, reliability, leakage, thermal model, temperature-aware design, power-aware design
77Daniel C. Vanderster, Amirali Baniasadi, Nikitas J. Dimopoulos Exploiting Task Temperature Profiling in Temperature-Aware Task Scheduling for Computational Clusters. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
69Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta 0001, Stephen P. Boyd, Giovanni De Micheli Temperature-aware processor frequency assignment for MPSoCs using convex optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temperature-aware, MPSoCs, convex optimization, thermal
67Yuan Xie 0001, Wei-Lun Hung Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thermal-aware design, scheduling, embedded system design, system-on-chip design
64Jian-Jia Chen, Chia-Mei Hung, Tei-Wei Kuo On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Temperature-aware scheduling, Real-time systems, Dynamic voltage scaling
63Weiping Liao, Lei He 0001, Kevin M. Lepak Temperature and supply Voltage aware performance and power modeling at microarchitecture level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Chunchen Liu, Junjie Su, Yiyu Shi 0001 Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
62Zhijian Lu, Wei Huang 0004, John C. Lach, Mircea R. Stan, Kevin Skadron Interconnect lifetime prediction under dynamic stress for reliability-aware design. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
59Ja Chun Ku, Yehea I. Ismail On the Scaling of Temperature-Dependent Effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik Peak temperature control and leakage reduction during binding in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switching, leakage, temperature, binding
53Ali Manzak Temperature Aware Datapath Scheduling. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Ramkumar Jayaseelan, Tulika Mitra Temperature Aware Scheduling for Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
48Benjamin Carrión Schäfer, Yongho Lee, Taewhan Kim Temperature-Aware Compilation for VLIWProcessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik Temperature-aware resource allocation and binding in high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switching, leakage, temperature, binding
43Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage/frequency scaling, energy, temperature dependency
43Giacomo Paci, Paul Marchal, Francesco Poletti, Luca Benini Exploring "temperature-aware" design in low-power MPSoCs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan A temperature-aware virtual submesh allocation scheme for noc-based manycore chips. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF manycore chips, submesh allocation, algorithm, noc, temperature
42Kostas Siozios, Dimitrios Soudris A Novel Methodology for Temperature-Aware Placement and Routing of FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Pedro Chaparro, José González 0002, Antonio González 0001 Thermal-Aware Clustered Microarchitectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Deepak Rajan, Philip S. Yu Temperature-Aware Scheduling: When is System-Throttling Good Enough? Search on Bibsonomy WAIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 Minimal skew clock embedding considering time variant temperature gradient. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clock tree design, compact parameterization, parameterized perturbation, thermal management
36Lin Yuan, Sean Leventhal, Gang Qu 0001 Temperature-aware leakage minimization technique for real-time systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Zhijian Lu, Wei Huang 0004, Mircea R. Stan, Kevin Skadron, John C. Lach Interconnect Lifetime Prediction for Reliability-Aware Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-Aware NBTI Modeling and the Impact of Standby Leakage Reduction Techniques on Circuit Performance Degradation. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF temperature-aware NBTI modeling, circuit performance degradation, Negative bias temperature instability (NBTI), leakage reduction
33Andreas Merkel, Frank Bellosa Task activity vectors: a new metric for temperature-aware scheduling. Search on Bibsonomy EuroSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity vectorsvectors, hotspot reduction, task characteristics, temperature-aware scheduling, thermal management, task migration
33Yan Zhang 0028, Mircea R. Stan Temperature-aware circuit design using adaptive body biasing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, temperature-aware design
33Li Shang, Li-Shiuan Peh, Amit Kumar 0002, Niraj K. Jha Temperature-Aware On-Chip Networks. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Temperature-aware, thermal management, thermal modeling, on-chip networks, thermal, simulation framework
31Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny C. Gross Proactive temperature balancing for low cost thermal management in MPSoCs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Aditya Bansal, Mesut Meterelliyoz, Siddharth Singh, Jung Hwan Choi, Jayathi Murthy, Kaushik Roy 0001 Compact thermal models for estimation of temperature-dependent power/performance in FinFET technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Xiangrong Zhou, Chenjie Yu, Peter Petrov Temperature-aware register reallocation for register file power-density minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Ayse K. Coskun, Tajana Simunic Rosing, Keith Whisnant, Kenny C. Gross Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng Temperature-Aware Task Mapping for Energy Optimization with Dynamic Voltage Scaling. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Chunta Chu, Xinyi Zhang, Lei He 0001, Tong Jing Temperature aware microprocessor floorplanning considering application dependent power load. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Tianpei Zhang, Yong Zhan, Sachin S. Sapatnekar Temperature-aware routing in 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Min Ni, Seda Ogrenci Memik Thermal-induced leakage power optimization by redundant resource allocation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Wei Huang 0004, Kevin Skadron, Sudhanva Gurumurthi, Robert J. Ribando, Mircea R. Stan Differentiating the roles of IR measurement and simulation for power and temperature-aware design. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Yousra Alkabani, Farinaz Koushanfar, Miodrag Potkonjak N-version temperature-aware scheduling and binding. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF N-variants, high-level synthesis, temperature control
26Zhenyu (Peter) Gu, Changyun Zhu, Li Shang, Robert P. Dick Application-Specific MPSoC Reliability Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Kunal P. Ganeshpure, Ilia Polian, Sandip Kundu, Bernd Becker 0001 Reducing temperature variability by routing heat pipes. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, physical design, thermal modeling, thermal simulation
26Pei-Yu Huang, Chih-Kang Lin, Yu-Min Lee Full-chip thermal analysis for the early design stage via generalized integral transforms. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ashutosh Chakraborty, Prassanna Sithambaram, Karthik Duraisami, Alberto Macii, Enrico Macii, Massimo Poncino Thermal resilient bounded-skew clock tree optimization methodology. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kyeong-Jae Lee, Kevin Skadron Using Performance Counters for Runtime Temperature Sensing in High-Performance Processors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng Temperature-Aware Voltage Selection for Energy Optimization. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Yi-Kan Cheng, Sung-Mo Kang A temperature-aware simulation environment for reliable ULSI chipdesign. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Rajarshi Mukherjee, Seda Ogrenci Memik An Integrated Approach to Thermal Management in High-Level Synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Kevin Skadron, Mircea R. Stan, Wei Huang 0004, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan Temperature-Aware Computer Systems: Opportunities and Challenges. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ayse K. Coskun, David Atienza, Mohamed M. Sabry, Jie Meng Attaining Single-Chip, High-Performance Computing through 3D Systems with Active Cooling. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF active cooling, 3D liquid-cooled systems, multiprocessor systems, emerging technologies, energy-aware systems, temperature-aware design
22Weixun Wang, Xiaoke Qin, Prabhat Mishra 0001 Temperature- and energy-constrained scheduling in multitasking systems: a model checking approach. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dvs, temperature-aware, model checking, low power design
22Andrea Calimera, Enrico Macii, Massimo Poncino, R. Iris Bahar Temperature-insensitive synthesis using multi-vt libraries. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-threshold voltage, temperature-aware, logic synthesis
22Kevin Skadron, Pradip Bose, Kanad Ghose, Resit Sendag, Joshua J. Yi, Derek Chiou Low-Power Design and Temperature Management. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, power management, hardware, energy-aware systems, temperature-aware design
22Ja Chun Ku, Yehea I. Ismail Thermal-aware methodology for repeater insertion in low-power VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
22Jeonghwan Choi, Chen-Yong Cher, Hubertus Franke, Hendrik F. Hamann, Alan J. Weger, Pradip Bose Thermal-aware task scheduling at the system software level. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power design, repeater insertion, temperature-aware design
22Hamid Noori, Maziar Goudarzi, Koji Inoue, Kazuaki J. Murakami The effect of temperature on cache size tuning for low energy embedded systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, cache memory, low energy, leakage current, temperature-aware design
22Kimish Patel, Wonbok Lee, Massoud Pedram Active bank switching for temperature control of the register file in a microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register file, thermal model, temperature-aware design
22Wonbok Lee, Kimish Patel, Massoud Pedram Dynamic thermal management for MPEG-2 decoding. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPEG-2 decoding, thermal model, temperature-aware design
22Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic thermal clock skew compensation using tunable delay buffers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature aware design methodology, tunable delay buffers, clock skew, clock tree
22Wei Huang 0004, Eric Humenay, Kevin Skadron, Mircea R. Stan The need for a full-chip and package thermal model for thermally optimized IC designs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage, package, thermal model, temperature-aware design
22Anirban Basu, Sheng-Chih Lin, Vineet Wason, Amit Mehrotra, Kaustav Banerjee Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electrothermal couplings, temperature aware design, subthreshold leakage, energy delay product
21Chunchen Liu, Ruei-Xi Chen, Jichang Tan, Sharon Fan, Jeffrey Fan, Kia Makki Thermal aware clock synthesis considering stochastic variation and correlations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Wei Huang 0004, Mircea R. Stan, Karthik Sankaranarayanan, Robert J. Ribando, Kevin Skadron Many-core design from a thermal perspective. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF many-core design, thermal design power, performance, temperature
20Jeonghwan Choi, Youngjae Kim 0001, Anand Sivasubramaniam, Jelena Srebric, Qian Wang, Joonwon Lee Modeling and Managing Thermal Profiles of Rack-mounted Servers with ThermoStat. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Sung Woo Chung, Kevin Skadron A Novel Software Solution for Localized Thermal Problems. Search on Bibsonomy ISPA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Baver Ozceylan, Boudewijn R. Haverkort, Maurits de Graaf, Marco E. T. Gerards Minimizing the Maximum Processor Temperature by Temperature-Aware Scheduling of Real-Time Tasks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Ying Zhang 0040, Yi Ding, Zebo Peng, Huawei Li 0001, Masahiro Fujita, Jianhui Jiang BMC-Based Temperature-Aware SBST for Worst-Case Delay Fault Testing Under High Temperature. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18João Roberto Raposo de Oliveira Martins A Temperature-Aware Framework for Analog Design Using a gm/ID Approach. (Une méthodologie de conception des circuits analogiques consciente de la température basée sur gm/ID). Search on Bibsonomy 2021   RDF
18Aniket Gupta, Kai Ni 0004, Om Prakash 0007, Xiaobo Sharon Hu, Hussam Amrouch Temperature Dependence and Temperature-Aware Sensing in Ferroelectric FET. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Ngoc Le Ba, Tony Tae-Hyoung Kim Design of Temperature-Aware Low-Voltage 8T SRAM in SOI Technology for High-Temperature Operation (25 %C-300 %C). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Jinsoo Park 0003, Hojung Cha T-DVS: Temperature-aware DVS based on Temperature Inversion Phenomenon. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Chandra Mohan Velpula, Jayant, Vishal Shahi CPU temperature aware scheduler a study on incorporating temperature data for CPU scheduling decisions. Search on Bibsonomy ICACCI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Tony Tae-Hyoung Kim, Ngoc Le Ba Design of a Temperature-Aware Low-Voltage SRAM With Self-Adjustable Sensing Margin Enhancement for High-Temperature Applications up to 300 °C. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Khaled Baati, Michel Auguin Temperature-aware DVFS-DPM for real-time applications under variable ambient temperature. Search on Bibsonomy SIES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Hong-Hsu Yen, Cheng-Han Lin, Hung-Wei Tsao Time-Aware and Temperature-Aware Fire Evacuation Path Algorithm in IoT-Enabled Multi-Story Multi-Exit Buildings. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Kun-Chih Chen Game-Based Thermal-Delay-Aware Adaptive Routing (GTDAR) for Temperature-Aware 3D Network-on-Chip Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Swarup Bhunia, Kaushik Roy 0001 Low power design under parameter variations. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Brian Swahn, Soha Hassoun Gate sizing: finFETs vs 32nm bulk MOSFETs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate sizing, thermal modeling, FinFET
14Johnsy K. John, Jie S. Hu, Sotirios G. Ziavras Optimizing the Thermal Behavior of Subarrayed Data Caches. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Matteo Acquarone, Federico Miretti, Pier Giuseppe Anselma, Daniela Misul Online Temperature-Aware Equivalent Consumption Minimization Strategy for Mild Hybrid Electric Powertrains. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
11Jasmine Mystica K, J. Martin Leo Manickam Joint Power and Temperature Aware Routing for implant wireless body area networks. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Seung Hun Choi, Seon Young Kim, Young Geun Kim, Joonho Kong, Sung Woo Chung AMBITION: Ambient Temperature Aware VM Allocation for Edge Data Centers. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Xiang Gao TAS: A Temperature-Aware Scheduling for Heterogeneous Computing. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Danial Javaheri, Pooia Lalbakhsh, Saeid Gorgin 0001, Jeong-A Lee, Mohammad Masdari A new energy-efficient and temperature-aware routing protocol based on fuzzy logic for multi-WBANs. Search on Bibsonomy Ad Hoc Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Yanshul Sharma, Sanjay Moulik FATS-2TC: A Fault Tolerant real-time Scheduler for energy and temperature aware heterogeneous platforms with Two types of Cores. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Prachi Shukla, Vasilis F. Pavlidis, Emre Salman, Ayse K. Coskun TREAD-M3D: Temperature-Aware DNN Accelerators for Monolithic 3-D Mobile Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Debabrata Senapati, Kousik Rajesh, Chandan Karfa, Arnab Sarkar TMDS: Temperature-aware Makespan Minimizing DAG Scheduler for Heterogeneous Distributed Systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Yuan Yao 0009 Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core Processors. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Sobhan Niknam, Yixian Shen, Anuj Pathania, Andy D. Pimentel 3D-TTP: Efficient Transient Temperature-Aware Power Budgeting for 3D-Stacked Processor-Memory Systems. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Prachi Shukla, Derrick Aguren, Tom Burd, Ayse K. Coskun, John Kalamatianos Temperature-Aware Sizing of Multi-Chip Module Accelerators for Multi-DNN Workloads. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Vahidreza Moghaddas, Hammam Kattan, Tim Bücher, Mikail Yayla, Jian-Jia Chen, Hussam Amrouch Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Prachi Shukla Temperature-aware 3D-integrated systolic array DNN accelerators Search on Bibsonomy 2023   RDF
11Narayanamoorthi Thilagavathi, John Prakash Arockiasamy, Sridevi Sridhar, Vaidheyanathan Rhymend Uthariaraj TARA: temperature aware online dynamic resource allocation scheme for energyoptimization in cloud data centres. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Kun-Chih Jimmy Chen, Masoumeh Ebrahimi Chapter Five - Routing algorithm design for power- and temperature-aware NoCs. Search on Bibsonomy Adv. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Jiseong Lee, Seung Soo Kwak, Yong Sin Kim Temperature-Aware Adaptive Control for Automotive Front-Lighting System. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yanshul Sharma, Shounak Chakraborty 0001, Sanjay Moulik ETA-HP: an energy and temperature-aware real-time scheduler for heterogeneous platforms. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Yifan Zhuang, Ziyuan Pu, Jia Hu, Yinhai Wang Illumination and Temperature-Aware Multispectral Networks for Edge-Computing-Enabled Pedestrian Detection. Search on Bibsonomy IEEE Trans. Netw. Sci. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Tayyab Ali Khan, Karan Singh, Manisha Manjul, Mohammad Nazir Ahmad, Azlan Mohd Zain, Ali Ahmadian A Temperature-Aware Trusted Routing Scheme for Sensor Networks: Security Approach. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11Mohammad M. Larijani, Mohsen Jalali Investigation of injection-locked ring oscillators for process, voltage, and temperature-aware low phase-noise reference clock generation. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 315 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license