|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 43 occurrences of 35 keywords
|
|
|
Results
Found 89 publication records. Showing 89 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
58 | Nak Hee Seong, Dong Hyuk Woo, Hsien-Hsin S. Lee |
Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 383-394, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dynamic address remapping, security, phase change memory, wear leveling |
44 | Bianca Schroeder, Garth A. Gibson |
Understanding disk failure rates: What does an MTTF of 1, 000, 000 hours mean to you? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 3(3), pp. 8:1-8:31, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Hard drive replacements, annual failure rates, annual replacement rates, datasheet MTTF, failure correlation, hard drive failure, infant mortality, storage reliability, time between failure, wear-out, MTTF |
35 | Dongyoung Seo, Dongkun Shin |
WAM: Wear wear-out-aware memory management for SCRAM-based low power mobile systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Consumer Electron. ![In: IEEE Trans. Consumer Electron. 59(4), pp. 803-810, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
31 | Kazuyuki Suzuki, Mesbahul Alam, Takuji Yoshikawa, Wataru Yamamoto |
Two Methods for Estimating Product Lifetimes from only Warranty Claims Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSIRI ![In: Second International Conference on Secure System Integration and Reliability Improvement, SSIRI 2008, July 14-17, 2008, Yokohama, Japan, pp. 111-119, 2008, IEEE Computer Society, 978-0-7695-3266-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
random failure, wear-out failure, usage time distribution, censoring distribution, Reliability |
31 | John Y. Oliver, Rajeevan Amirtharajah, Venkatesh Akella, Frederic T. Chong |
Credit-based dynamic reliability management using online wearout detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008, pp. 139-148, 2008, ACM, 978-1-60558-077-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
wear-out, reliability |
29 | Fan Yang, Chengqi Xiao, Jun Li 0062, Zhibing Sha, Zhigang Cai, Jianwei Liao 0001 |
Out-of-channel data placement for balancing wear-out and I/O workloads in RAID-enabled SSDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2023, Antwerp, Belgium, April 17-19, 2023, pp. 1-6, 2023, IEEE, 978-3-9819263-7-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Andrea Marongiu, Andrea Acquaviva, Luca Benini |
OpenMP Support for NBTI-Induced Aging Tolerance in MPSoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSS ![In: Stabilization, Safety, and Security of Distributed Systems, 11th International Symposium, SSS 2009, Lyon, France, November 3-6, 2009. Proceedings, pp. 547-562, 2009, Springer, 978-3-642-05117-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Jin Sun 0006, Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang |
NBTI aware workload balancing in multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 833-838, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Abhishek Pillai, Wei Zhang 0002, Dimitrios Kagaris |
Detecting VLIW Hard Errors Cost-Effectively through a Software-Based Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA Workshops (1) ![In: 21st International Conference on Advanced Information Networking and Applications (AINA 2007), Workshops Proceedings, Volume 1, May 21-23, 2007, Niagara Falls, Canada, pp. 811-815, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Dennis Sylvester, David T. Blaauw, Eric Karl |
ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 23(6), pp. 484-490, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
unpredictable silicon, runtime self-diagnosis, adaptivity, architecture, process variations, self-healing, ElastIC, technology scaling |
25 | Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, Jude A. Rivers |
The Case for Lifetime Reliability-Aware Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 31st International Symposium on Computer Architecture (ISCA 2004), 19-23 June 2004, Munich, Germany, pp. 276-287, 2004, IEEE Computer Society, 0-7695-2143-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Stuart E. Schechter, Gabriel H. Loh, Karin Strauss, Doug Burger |
Use ECP, not ECC, for hard failures in resistive memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 141-152, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
hard failures, resistive memories, memory, error correction, phase change memory |
23 | Ohhoon Kwon, Jaewoo Lee, Kern Koh |
EF-Greedy: A Novel Garbage Collection Policy for Flash Memory Based Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 913-920, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Embedded systems, Garbage collection, Flash memory |
23 | Ohhoon Kwon, Yeonseung Ryu, Kern Koh |
An Efficient Garbage Collection Policy for Flash Memory Based Swap Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part I, pp. 213-223, 2007, Springer, 978-3-540-74468-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Swap systems, Garbage collection, Flash memory |
22 | Yingzhou Peng, Haoran Wang, Huai Wang |
A Method for Separation of Power Semiconductor Packaging-Related Wear-Out Mechanisms Under Converter Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 71(4), pp. 4201-4209, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
22 | Isabel Martón, Ana Isabel Sánchez, Sofía Carlos, Rubén Mullor, Sebastián Martorell |
Prognosis of wear-out effect on of safety equipment reliability for nuclear power plants long-term safe operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Reliab. Eng. Syst. Saf. ![In: Reliab. Eng. Syst. Saf. 233, pp. 109121, May 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | María Dolores Berrade, E. Calvo, Francisco Germán Badía |
Maintenance of systems with critical components. Prevention of early failures and wear-out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Ind. Eng. ![In: Comput. Ind. Eng. 181, pp. 109291, July 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Jegadeeshwaran Rakkiyannan, Lakshmipathi Jakkamputi, Mohanraj Thangamuthu, Abhishek D. Patange, Sakthivel Gnanasekaran |
Development of Online Tool Wear-Out Detection System Using Silver-Polyester Thick Film Sensor for Low-Duty Cycle Machining Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 22(21), pp. 8200, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Alexander Teverovsky |
Infant Mortality and Wear-Out Failures in Polymer and MnO2 Tantalum Capacitors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IRPS ![In: IEEE International Reliability Physics Symposium, IRPS 2022, Dallas, TX, USA, March 27-31, 2022, pp. 46-1, 2022, IEEE, 978-1-6654-7950-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Ana Cláudia Souza Vidal de Negreiros, Isis Didier Lins, Márcio José das Chagas Moura, Enrique López Droguett |
Reliability data analysis of systems in the wear-out phase using a (corrected) q-Exponential likelihood. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Reliab. Eng. Syst. Saf. ![In: Reliab. Eng. Syst. Saf. 197, pp. 106787, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Flavia Dalia Frumosu, Georg Ørnskov Rønsch, Murat Kulahci |
Mould wear-out prediction in the plastic injection moulding industry: a case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Integr. Manuf. ![In: Int. J. Comput. Integr. Manuf. 33(12), pp. 1245-1258, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Chang Liu 0010, Eric Schneider, Hans-Joachim Wunderlich |
Using Programmable Delay Monitors for Wear-Out and Early Life Failure Prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020, pp. 804-809, 2020, IEEE, 978-3-9819263-4-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Yanfeng Shen, Andrii Chub, Huai Wang, Dmitri Vinnikov, Elizaveta Liivik, Frede Blaabjerg |
Wear-Out Failure Analysis of an Impedance-Source PV Microinverter Based on System-Level Electrothermal Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 66(5), pp. 3914-3927, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Takatoshi Sugiyama, Toru Ogura, Takakazu Sugiyama |
Estimation of the threshold parameter of a wear-out failure period in the case of a three-parameter Weibull distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Knowl. Eng. Soft Data Paradigms ![In: Int. J. Knowl. Eng. Soft Data Paradigms 6(2), pp. 110-119, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Ting-Yi Wu, Lav R. Varshney, Vincent Y. F. Tan |
On the Throughput of Channels That Wear Out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 67(8), pp. 5311-5320, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Nikos Foutris, Christos Kotselidis, Mikel Luján |
Simulating Wear-out Effects of Asymmetric Multicores at the Architecture Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2019, Noordwijk, Netherlands, October 2-4, 2019, pp. 1-6, 2019, IEEE, 978-1-7281-2260-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Kan Takeuchi, Masaki Shimada, Takeshi Okagaki, Koji Shibutani, Koji Nii, Fumio Tsuchiya |
Wear-out stress monitor utilising temperature and voltage sensitive ring oscillators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Circuits Devices Syst. ![In: IET Circuits Devices Syst. 12(2), pp. 182-188, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Michal Baszynski, P. Rydygier, Mariusz Wojcik |
Experimental studies of: Laminate composition, drill bit wear out, and chloride ion concentration as factors affecting CAF formation rate. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 88-90, pp. 31-37, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Chang Liu 0010, Eric Schneider, Matthias Kampmann, Sybille Hellebrand, Hans-Joachim Wunderlich |
Extending Aging Monitors for Early Life and Wear-Out Failure Prevention. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATS ![In: 27th IEEE Asian Test Symposium, ATS 2018, Hefei, China, October 15-18, 2018, pp. 92-97, 2018, IEEE, 978-1-5386-9466-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Elizaveta Liivik, Andrii Chub, Ariya Sangwongwanich, Yanfeng Shen, Dmitri Vinnikov, Frede Blaabjerg |
Wear-Out Failure Analysis of Solar Optiverter Operating with 60- and 72-Cell Si Crystalline PV Modules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IECON ![In: IECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society, Washington, DC, USA, October 21-23, 2018, pp. 6134-6140, 2018, IEEE, 978-1-5090-6684-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Sheriff Sadiqbatcha, Chase Cook, Zeyu Sun 0001, Sheldon X.-D. Tan |
Accelerating Electromigration Wear-Out Effects Based on Configurable Sink-Structured Wires. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMACD ![In: 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, SMACD 2018, Prague, Czech Republic, July 2-5, 2018, pp. 21-24, 2018, IEEE, 978-1-5386-5153-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Patrick Cronin, Chengmo Yang, Yongpan Liu |
A collaborative defense against wear out attacks in non-volatile processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018, pp. 88:1-88:6, 2018, ACM, 978-1-5386-4114-9. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Graeme Jenkinson |
It's Better to Rust Than Wear Out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
login Usenix Mag. ![In: login Usenix Mag. 42(2), 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP BibTeX RDF |
|
22 | Haiyu Mao, Xian Zhang 0001, Guangyu Sun 0003, Jiwu Shu |
Protect non-volatile memory from wear-out attack based on timing difference of row buffer hit/miss. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017, pp. 1623-1626, 2017, IEEE, 978-3-9815370-8-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Xiaojian Liu, Chenrui Wu, Lemiao Qiu, Yang Wang, Shuyou Zhang 0001 |
A geometric errors analysis method integrated clamping error and wear out error over working space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASE ![In: 13th IEEE Conference on Automation Science and Engineering, CASE 2017, Xi'an, China, August 20-23, 2017, pp. 656-661, 2017, IEEE, 978-1-5090-6781-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Yohwan Choi, Hongseok Kim |
Optimal scheduling of energy storage system for self-sustainable base station operation considering battery wear-out cost. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICUFN ![In: Eighth International Conference on Ubiquitous and Future Networks, ICUFN 2016, Vienna, Austria, July 5-8, 2016, pp. 170-172, 2016, IEEE, 978-1-4673-9991-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Kan Takeuchi, Masaki Shimada, Takeshi Okagaki, Koji Shibutani, Koji Nii, Fumio Tsuchiya |
FEOL/BEOL wear-out estimator using stress-to-frequency conversion of voltage/temperature-sensitive ring oscillators for 28nm automotive MCUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSCIRC ![In: ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016, pp. 265-268, 2016, IEEE, 978-1-5090-2972-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Fabian Paschke, Christian Bayer 0002, Olaf Enge-Rosenblatt |
A generic approach for detection of wear-out situations in machine subsystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: 21st IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2016, Berlin, Germany, September 6-9, 2016, pp. 1-4, 2016, IEEE, 978-1-5090-1314-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Alf Inge Wang |
The wear out effect of a game-based student response system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Educ. ![In: Comput. Educ. 82, pp. 217-227, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Laurent Doyen 0002 |
Semi-parametric estimation of Brown-Proschan preventive maintenance effects and intrinsic wear-out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Stat. Data Anal. ![In: Comput. Stat. Data Anal. 77, pp. 206-222, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Huang-Chen Lee, Yu-Chang Chang, Yen-Shuo Huang |
A Reliable Wireless Sensor System for Monitoring Mechanical Wear-Out of Parts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Instrum. Meas. ![In: IEEE Trans. Instrum. Meas. 63(10), pp. 2488-2497, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Caio Hoffman, Luiz Ramos, Rodolfo Azevedo, Guido Araujo |
Wear-out analysis of Error Correction Techniques in Phase-Change Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-4, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
22 | Hyungjun Kim, Arseniy Vitkovskiy, Paul V. Gratz, Vassos Soteriou |
Use it or lose it: wear-out and lifetime in future chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: The 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7-11, 2013, pp. 136-147, 2013, ACM, 978-1-4503-2638-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Parthasarathy M. B. Rao, Abdulazim Amouri, Saman Kiamehr, Mehdi Baradaran Tahoori |
Altering LUT configuration for wear-out mitigation of FPGA-mapped designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 23rd International Conference on Field programmable Logic and Applications, FPL 2013, Porto, Portugal, September 2-4, 2013, pp. 1-8, 2013, IEEE, 978-1-4799-0004-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Angel Cuadras, Victoria-Julia Ovejas, Marcos Quilez |
Entropy as a wear out indicator: The resistor example. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSD ![In: 10th International Multi-Conferences on Systems, Signals & Devices, SSD 2013, Hammamet, Tunisia, March 18-21, 2013, pp. 1-5, 2013, IEEE, 978-1-4673-6459-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Laurent Doyen 0002 |
Reliability analysis and joint assessment of Brown-Proschan preventive maintenance efficiency and intrinsic wear-out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Stat. Data Anal. ![In: Comput. Stat. Data Anal. 56(12), pp. 4433-4449, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Matthew Beckler, R. D. (Shawn) Blanton |
On-chip diagnosis for early-life and wear-out failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2012 IEEE International Test Conference, ITC 2012, Anaheim, CA, USA, November 5-8, 2012, pp. 1-10, 2012, IEEE Computer Society, 978-1-4673-1594-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Nak Hee Seong, Dong Hyuk Woo, Hsien-Hsin S. Lee |
Security Refresh: Protecting Phase-Change Memory against Malicious Wear Out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 31(1), pp. 119-127, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Urban Ingelsson, Shih-Yen Chang, Erik Larsson |
Measurement point selection for in-operation wear-out monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2011, Cottbus, Germany, April 13-15, 2011, pp. 381-386, 2011, IEEE Computer Society, 978-1-4244-9755-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Yangyang Pan, Guiqiang Dong, Tong Zhang 0002 |
Exploiting Memory Device Wear-Out Dynamics to Improve NAND Flash Memory System Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FAST ![In: 9th USENIX Conference on File and Storage Technologies, San Jose, CA, USA, February 15-17, 2011, pp. 245-258, 2011, USENIX, 978-1-931971-82-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
22 | Qi Wu 0006, Guiqiang Dong, Tong Zhang 0002 |
Exploiting Heat-Accelerated Flash Memory Wear-Out Recovery to Enable Self-Healing SSDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HotStorage ![In: 3rd USENIX Workshop on Hot Topics in Storage and File Systems, HotStorage'11, Portland, OR, USA, June 14, 2011, 2011, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
22 | Jayakrishnan V. Iyer, Heeyeol Yu, Hogil Kim, Eun Jung Kim 0001, Ki Hwan Yum, Pyeong Soo Mah |
Assuring K-coverage in the presence of mobility and wear-out failures in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Sens. Networks ![In: Int. J. Sens. Networks 5(1), pp. 58-65, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Shin Yoo, Mark Harman, Shmuel Ur |
Measuring and Improving Latency to Avoid Test Suite Wear Out. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST Workshops ![In: Second International Conference on Software Testing Verification and Validation, ICST 2009, Denver, Colorado, USA, April 1-4, 2009, Workshops Proceedings, pp. 101-110, 2009, IEEE Computer Society, 978-0-7695-3671-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | P. J. van der Wel, S. J. C. H. Theeuwen, J. A. Bielen, Y. Li, R. A. van den Heuvel, J. G. Gommans, F. van Rijs, P. Bron, H. J. F. Peuscher |
Wear out failure mechanisms in aluminium and gold based LDMOS RF power applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 46(8), pp. 1279-1284, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | T. Pompl, A. Kerber, M. Röhner, Martin Kerber |
Gate voltage and oxide thickness dependence of progressive wear-out of ultra-thin gate oxides. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 46(9-11), pp. 1603-1607, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Xiangdong Xuan, Abhijit Chatterjee, Adit D. Singh |
Application of local design-for-reliability techniques for reducing wear-out degradation of CMOS combinational logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 9th European Test Symposium, ETS 2004, Ajaccio, France, May 23-26, 2004, pp. 24-29, 2004, IEEE Computer Society, 0-7695-2119-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Ketut Buda Artana, Kenji Ishida |
Spreadsheet modeling of optimal maintenance schedule for components in wear-out phase. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Reliab. Eng. Syst. Saf. ![In: Reliab. Eng. Syst. Saf. 77(1), pp. 81-91, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Frederic Monsieur, E. Vincent, G. Pananakakis, Gérard Ghibaudo |
Wear-out, breakdown occurrence and failure detection in 18-25 Å ultrathin oxides. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 41(7), pp. 1035-1039, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | S. Bruyère, David Roy 0001, E. Robilliart, E. Vincent, Gérard Ghibaudo |
Body effect induced wear-out acceleration in ultra-thin oxides. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microelectron. Reliab. ![In: Microelectron. Reliab. 41(7), pp. 1031-1034, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Gwan S. Choi, Ravishankar K. Iyer |
Wear-Out Simulation Environment for VLSI Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-23, The Twenty-Third Annual International Symposium on Fault-Tolerant Computing, Toulouse, France, June 22-24, 1993, pp. 320-329, 1993, IEEE Computer Society, 0-8186-3680-7. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
22 | S. Zacks |
Estimating the Shift to Wear-Out of Systems Having Exponential-Weibull Life Distributions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Oper. Res. ![In: Oper. Res. 32(3), pp. 741-749, 1984. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
|
14 | Joe Sullivan, Conor Ryan |
A Destructive Evolutionary Algorithm Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FBIT ![In: Frontiers in the Convergence of Bioscience and Information Technologies 2007, FBIT 2007, Jeju Island, Korea, October 11-13, 2007, pp. 761-764, 2007, IEEE Computer Society, 978-0-7695-2999-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
14 | Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, José Manuel Cazeaux, T. M. Mak |
Path (Min) Delay Faults and Their Impact on Self-Checking Circuits' Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 10-12 July 2006, Como, Italy, pp. 17-22, 2006, IEEE Computer Society, 0-7695-2620-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Mehmet Basoglu, Michael Orshansky, Mattan Erez |
NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 253-258, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
wearout, energy efficiency, process variation, DVFS, NBTI |
12 | Pramod Subramanyan, Virendra Singh, Kewal K. Saluja, Erik Larsson |
Energy-efficient redundant execution for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 143-146, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
redundant execution, microarchitecture, transient faults, permanent faults |
12 | Mahesh Balakrishnan 0001, Asim Kadav, Vijayan Prabhakaran, Dahlia Malkhi |
Differential RAID: rethinking RAID for SSD reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: European Conference on Computer Systems, Proceedings of the 5th European conference on Computer systems, EuroSys 2010, Paris, France, April 13-16, 2010, pp. 15-26, 2010, ACM, 978-1-60558-577-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
RAID, flash, SSD |
12 | David Roberts, Taeho Kgil, Trevor N. Mudge |
Integrating NAND flash devices onto servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 52(4), pp. 98-103, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Ulya R. Karpuzcu, Brian Greskamp, Josep Torrellas |
The BubbleWrap many-core: popping cores for sequential acceleration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 447-458, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
power wall, process scaling, processor aging, voltage scaling |
12 | Diogo José Costa Alves, Edna Barros |
A logic built-in self-test architecture that reuses manufacturing compressed scan test patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
LBIST, compressed test patterns, test, SoC, self-test |
12 | Henning Klein, Jörg Keller 0001 |
Storage architecture with integrity, redundancy and encryption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-6, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Joseph Hassoun |
Resiliency in Elemental Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, 5th International Workshop, ARC 2009, Karlsruhe, Germany, March 16-18, 2009. Proceedings, pp. 2, 2009, Springer, 978-3-642-00640-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Joe Kelly, Dean Nicholson, Edwin Lowery, Victor Grothen |
Light-Enhanced FET Switch Improves ATE RF Power Settling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 25(1), pp. 38-43, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FET, RF switch, power settling, HVM, high-volume manufacturing, ATE, test time, settling time |
12 | Ajit Ashok Shenvi |
Design for six sigma: software product quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISEC ![In: Proceeding of the 1st Annual India Software Engineering Conference, ISEC 2008, Hyderabad, India, February 19-22, 2008, pp. 97-106, 2008, ACM, 978-1-59593-917-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CTQs, DFSS, black belt, cost of non-quality, risk-benefit, voice of customer, FMEA |
12 | Xin Fu, Tao Li 0006, José A. B. Fortes |
NBTI tolerant microarchitecture design in the presence of process variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, pp. 399-410, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Marcus Furuholmen, Kyrre Glette, Jim Tørresen, Mats Høvin |
Indirect Online Evolution - A Conceptual Framework for Adaptation in Industrial Robotic Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICES ![In: Evolvable Systems: From Biology to Hardware, 8th International Conference, ICES 2008, Prague, Czech Republic, September 21-24, 2008. Proceedings, pp. 165-176, 2008, Springer, 978-3-540-85856-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Maryam Ashouei, Adit D. Singh, Abhijit Chatterjee |
Reconfiguring CMOS as Pseudo N/PMOS for Defect Tolerance in Nano-Scale CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 27-32, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Kypros Constantinides, Stephen Plaza, Jason A. Blome, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Bin Zhang 0011, Michael Orshansky |
Architecting a reliable CMP switch architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 4(1), pp. 2, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
CMP switch, reliability, defect-tolerance |
12 | Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi |
Adapting to Intermittent Faults in Future Multicore Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 431, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Mojtaba Mehrara, Mona Attariyan, Smitha Shyam, Kypros Constantinides, Valeria Bertacco, Todd M. Austin |
Low-cost protection for SER upsets and silicon defects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1146-1151, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Joe Sullivan, Conor Ryan |
A destructive evolutionary process: a pilot implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2007, Proceedings, London, England, UK, July 7-11, 2007, pp. 2167-2173, 2007, ACM, 978-1-59593-697-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
silicon design, reliability, experimentation, flash memory |
12 | Mohammed G. Khatib, Berend-Jan van der Zwaag, Pieter H. Hartel, Gerard J. M. Smit |
Interposing Flash between Disk and DRAM to Save Energy for Streaming Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2007, October 4-5, Salzburg, Austria, conjunction with CODES+ISSS 2007, pp. 7-12, 2007, IEEE Computer Society, 978-1-4244-1654-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Kypros Constantinides, Stephen Plaza, Jason A. Blome, Bin Zhang 0011, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Michael Orshansky |
BulletProof: a defect-tolerant CMP switch architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 12th International Symposium on High-Performance Computer Architecture, HPCA-12 2006, Austin, Texas, USA, February 11-15, 2006, pp. 5-16, 2006, IEEE Computer Society, 0-7803-9368-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Paul L. Master |
Reconfigurable Hardware and Software Architectural Constructs for the Enablement of Resilient Computing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 2006 IEEE International Conference on Application-Specific Systems, Architecture and Processors (ASAP 2006), 11-13 September 2006, Steamboat Springs, Colorado, USA, pp. 50-55, 2006, IEEE Computer Society, 0-7695-2682-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Qin Xin 0005, Thomas J. E. Schwarz, Ethan L. Miller |
Disk Infant Mortality in Large Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 13th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2005), 27-29 September 2005, Atlanta, GA, USA, pp. 125-134, 2005, IEEE Computer Society, 0-7695-2458-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Yi Zou, Krishnendu Chakrabarty |
Fault-Tolerant Self-organization in Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCOSS ![In: Distributed Computing in Sensor Systems, First IEEE International Conference, DCOSS 2005, Marina del Rey, CA, USA, June 30 - July 1, 2005, Proceedings, pp. 191-205, 2005, Springer, 3-540-26422-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Chandu Visweswariah |
Statistical analysis and design: from picoseconds to probabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2004, Pernambuco, Brazil, September 7-11, 2004, pp. 2, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Alenka Brezavscek, Alenka Hudoklin |
Joint optimization of block-replacement and periodic-review spare-provisioning policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 52(1), pp. 112-117, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Peter May, Keith Mander, Jon Timmis |
Software Vaccination: An Artificial Immune System Approach to Mutation Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARIS ![In: Artificial Immune Systems, Second International Conference, ICARIS 2003, Edinburgh, UK, September 1-3, 2003, Proceedings, pp. 81-92, 2003, Springer, 3-540-40766-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Ralph H. J. M. Otten, Raul Camposano, Patrick Groeneveld |
Design Automation for Deepsubmicron: Present and Future. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 650-657, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #89 of 89 (100 per page; Change: )
|
|