The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Shuo Huai, Di Liu 0002, Xiangzhong Luo, Hui Chen 0016, Weichen Liu, Ravi Subramaniam Crossbar-Aligned & Integer-Only Neural Network Compression for Efficient in-Memory Acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chen-Chia Chang, Jingyu Pan, Zhiyao Xie, Yaguang Li, Yishuang Lin, Jiang Hu, Yiran Chen 0001 Fully Automated Machine Learning Model Development for Analog Placement Quality Prediction. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yueting Li, He Zhang, Xueyan Wang, Hao Cai, Yundong Zhang, Shuqin Lv, Renguang Liu, Weisheng Zhao Toward Energy-Efficient Sparse Matrix-Vector Multiplication with near STT-MRAM Computing Architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kamel-Eddine Harabi, Clement Türck, Marie Drouhin, Adrien Renaudineau, Thomas Bersani-Veroni, Damien Querlioz, Tifenn Hirtzlin, Elisa Vianello, Marc Bocquet, Jean-Michel Portal A Multimode Hybrid Memristor-CMOS Prototyping Platform Supporting Digital and Analog Projects. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ying Zhu, Min Liu, Lu Xu, Lei Wang 0187, Xi Xiao, Shaohua Yu Multi-Wavelength Parallel Training and Quantization-Aware Tuning for WDM-Based Optical Convolutional Neural Networks Considering Wavelength-Relative Deviations. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tianen Chen, Noah Anderson, Younghyun Kim 0001 Latent Weight-Based Pruning for Small Binary Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yunpeng Song, Yina Lv, Liang Shi DECC: Differential ECC for Read Performance Optimization on High-Density NAND Flash Memory. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sven Thijssen, Sumit Kumar Jha 0001, Rickard Ewetz FLOW-3D: Flow-Based Computing on 3D Nanoscale Crossbars with Minimal Semiperimeter. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dina A. Moussa, Michael Hefenbrock, Christopher Münch, Mehdi B. Tahoori Automatic Test Pattern Generation and Compaction for Deep Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pengfei Qiu, Qiang Gao, Dongsheng Wang 0002, Yongqiang Lyu 0001, Chunlu Wang, Chang Liu, Rihui Sun, Gang Qu 0001 PMU-Leaker: Performance Monitor Unit-Based Realization of Cache Side-Channel Attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lukas Burgholzer, Robert Wille Exploiting Reversible Computing for Verification: Potential, Possible Paths, and Consequences. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ya Gao, Qizhi Zhang, Haocheng Ma, Jiaji He, Yiqiang Zhao EO-Shield: A Multi-Function Protection Scheme against Side Channel and Focused Ion Beam Attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bosheng Liu, Hongyi Liang, Jigang Wu, Xiaoming Chen 0003, Peng Liu, Yinhe Han 0001 Accelerating Convolutional Neural Networks in Frequency Domain via Kernel-Sharing Approach. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Darshana Jayasinghe, Brian Udugama, Sri Parameswaran FPGA Based Countermeasures against Side Channel Attacks on Block Ciphers. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammadhossein Askarihemmat, Sean Wagner, Olexa Bilaniuk, Yassine Hariri, Yvon Savaria, Jean-Pierre David BARVINN: Arbitrary Precision DNN Accelerator Controlled by a RISC-V CPU. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shengkun Wu, Biwei Xie, Xingquan Li An Adaptive Partition Strategy of Galerkin Boundary Element Method for Capacitance Extraction. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jianwang Zhai, Yici Cai, Bei Yu 0001 Microarchitecture Power Modeling via Artificial Neural Network and Transfer Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lucas Berent, Lukas Burgholzer, Robert Wille Software Tools for Decoding Quantum Low-Density Parity-Check Codes. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Haoyu Yang, Haoxing Ren Enabling Scalable AI Computational Lithography with Physics-Inspired Models. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tom Glint, Kailash Prasad, Jinay Dagli, Krishil Gandhi, Aryan Gupta, Vrajesh Patel, Neel Shah, Joycee Mekie Hardware-Software Codesign of DNN Accelerators Using Approximate Posit Multipliers. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yunhung Gao, Hongyan Li, Kevin Zhang, Xueru Yu, Hang Lu Mortar: Morphing the Bit Level Sparsity for General Purpose Deep Learning Acceleration. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bo-Wun Cheng, En-Ming Huang, Chen-Hao Chao, Wei-Fang Sun, Tsung Tai Yeh, Chun-Yi Lee COLAB: Collaborative and Efficient Processing of Replicated Cache Requests in GPU. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Arighna Deb, Kamalika Datta, Muhammad Hassan 0002, Saeideh Shirinzadeh, Rolf Drechsler Automated Equivalence Checking Method for Majority Based In-Memory Computing on ReRAM Crossbars. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shang Shi, Nitin Pundir, Hadi Mardani Kamali, Mark M. Tehranipoor, Farimah Farahmandi SecHLS: Enabling Security Awareness in High-Level Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Cheng-Yu Chiang, Chia-Lin Hu, Mark Po-Hung Lin, Yu-Szu Chung, Shyh-Jye Jou, Jieh-Tsorng Wu, Shiuh-Hua Wood Chiang, Chien-Nan Jimmy Liu, Hung-Ming Chen On Automating Finger-Cap Array Synthesis with Optimal Parasitic Matching for Custom SAR ADC. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tom Peham, Lukas Burgholzer, Robert Wille Equivalence Checking of Parameterized Quantum Circuits: Verifying the Compilation of Variational Quantum Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yifan Chen, Jing Mai, Xiaohan Gao, Muhan Zhang, Yibo Lin MacroRank: Ranking Macro Placement Solutions Leveraging Translation Equivariancy. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pai-Yu Tan, Cheng-Wen Wu A Low-Bitwidth Integer-STBP Algorithm for Efficient Training and Inference of Spiking Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yeu-Haw Yeh, Simon Yi-Hung Chen, Hung-Ming Chen, Deng-Yao Tu, Guan-Qi Fang, Yun-Chih Kuo, Po-Yang Chen DPRoute: Deep Learning Framework for Package Routing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vito Giovanni Castellana, Nicolas Bohm Agostini, Ankur Limaye, Vinay Amatya, Marco Minutoli, Joseph B. Manzano, Antonino Tumeo, Serena Curzel, Michele Fiorito, Fabrizio Ferrandi Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rune Krauss, Mehran Goli, Rolf Drechsler EDDY: A Multi-Core BDD Package with Dynamic Memory Management and Reduced Fragmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rongjian Liang, Siddhartha Nath, Anand Rajaram, Jiang Hu, Haoxing Ren BufFormer: A Generative ML Framework for Scalable Buffering. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hao-Chiang Shao, Chia-Wen Lin, Shao-Yun Fang Data-Driven Approaches for Process Simulation and Optical Proximity Correction. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jincong Lu, Jinwei Zhang, Wentian Jin, Sachin Sachdeva, Sheldon X.-D. Tan Learning Based Spatial Power Characterization and Full-Chip Power Estimation for Commercial TPUs. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chen-Chia Chang, Jingyu Pan, Zhiyao Xie, Jiang Hu, Yiran Chen 0001 Rethink before Releasing Your Model: ML Model Extraction Attack in EDA. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jingyu He, Yucong Huang, Miguel Lastras, Terry Tao Ye, Chi-Ying Tsui, Kwang-Ting Cheng RVComp: Analog Variation Compensation for RRAM-Based in-Memory Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dayane Reis, Ann Franchesca Laguna, Michael T. Niemier, Xiaobo Sharon Hu In-Memory Computing Accelerators for Emerging Learning Paradigms. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dehua Liang, Hiromitsu Awano, Noriyuki Miura, Jun Shiomi DependableHD: A Hyperdimensional Learning Framework for Edge-Oriented Voltage-Scaled Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hasan Al Shaikh, Arash Vafaei, Mridha Md Mashahedur Rahman, Kimia Zamiri Azar, Fahim Rahman, Farimah Farahmandi, Mark M. Tehranipoor SHarPen: SoC Security Verification by Hardware Penetration Test. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hao Geng, Qi Sun 0002, Tinghuan Chen, Qi Xu, Tsung-Yi Ho, Bei Yu 0001 Mixed-Type Wafer Failure Pattern Recognition. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hung-Chun Lin, Shao-Yun Fang MIA-Aware Detailed Placement and VT Reassignment for Leakage Power Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Florian Klemme ML to the Rescue: Reliability Estimation from Self-Heating and Aging in Transistors All the Way up Processors. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yi Sheng, Junhuan Yang, Weiwen Jiang, Lei Yang 0018 Toward Fair and Efficient Hyperdimensional Computing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Daniel Volya, Prabhat Mishra 0001 Quantum Data Compression for Efficient Generation of Control Pulses. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rei Sumikawa, Kota Shiba, Atsutake Kosuge, Mototsugu Hamada, Tadahiro Kuroda A 1.2nJ/Classification Fully Synthesized All-Digital Asynchronous Wired-Logic Processor Using Quantized Non-Linear Function Blocks in 0.18μm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhixin Pan, Prabhat Mishra 0001 Hardware Trojan Detection Using Shapley Ensemble Boosting. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chun-Ting Lee, Yi-Ting Li, Yung-Chih Chen, Chun-Yao Wang Approximate Logic Synthesis by Genetic Algorithm with an Error Rate Guarantee. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Thilanka Thilakasiri, Matthias Becker 0004 An Exact Schedulability Analysis for Global Fixed-Priority Scheduling of the AER Task Model. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pin-Chun Chen, Xiangguo Liu, Chung-Wei Lin, Chao Huang 0015, Qi Zhu 0002 Mixed-Traffic Intersection Management Utilizing Connected and Autonomous Vehicles as Traffic Regulators. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Francesco Antognazza, Alessandro Barenghi, Gerardo Pelosi, Ruggero Susella A Flexible ASIC-Oriented Design for a Full NTRU Accelerator. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zicheng He, Ao Shen, Qiufeng Li, Quan Cheng, Hao Yu 0001 Agile Hardware and Software Co-Design for RISC-V-Based Multi-Precision Deep Learning Microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qing Lu, Weiwen Jiang, Xiaowei Xu 0004, Jingtong Hu, Yiyu Shi 0001 Quantization through Search: A Novel Scheme to Quantize Convolutional Neural Networks in Finite Weight Space. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Johannes Geier, Lukas Auer, Daniel Mueller-Gritschneder, Uzair Sharif, Ulf Schlichtmann CompaSeC: A Compiler-Assisted Security Countermeasure to Address Instruction Skip Fault Attacks on RISC-V. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sajjad Parvin, Mehran Goli, Frank Sill Torres, Rolf Drechsler Trojan-D2: Post-Layout Design and Detection of Stealthy Hardware Trojans - A RISC-V Case Study. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wang Liao, Yasunari Suzuki, Teruo Tanimoto, Yosuke Ueno, Yuuki Tokunaga WIT-Greedy: Hardware System Design of Weighted ITerative Greedy Decoder for Surface Code. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongning Ma, Sizhe Zhang, Xun Jiao Robust Hyperdimensional Computing against Cyber Attacks and Hardware Errors: A Survey. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chenyi Wen, Ying Wu, Xunzhao Yin, Cheng Zhuo Approximate Floating-Point FFT Design with Wide Precision-Range and High Energy Efficiency. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuchao Liao, Tosiron Adegbija, Roman Lysecky Efficient System-Level Design Space Exploration for High-Level Synthesis Using Pareto-Optimal Subspace Pruning. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Federico Reghenzani, William Fornaciari Mixed-Criticality with Integer Multiple WCETs and Dropping Relations: New Scheduling Challenges. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1M. Lakshmi Varshika, Abhishek Kumar Mishra, Nagarajan Kandasamy, Anup Das 0001 Hardware-Software Co-Design for On-Chip Learning in AI Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hong-Wen Chiou, Jia-Hao Jiang, Yu-Teng Chang, Yu-Min Lee, Chi-Wen Pan Chiplet Placement for 2.5D IC with Sequence Pair Based Tree and Thermal Consideration. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lingjie Li, Zhiqiang Liu, Kan Liu, Shan Shen, Wenjian Yu Parallel Incomplete LU Factorization Based Iterative Solver for Fixed-Structure Linear Equations in Circuit Simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wentao Hou, Kai Zhong, Shulin Zeng, Guohao Dai, Huazhong Yang, Yu Wang 0002 NTGAT: A Graph Attention Network Accelerator with Runtime Node Tailoring. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Junchi Ma, Sulei Huang, Zongtao Duan, Lei Tang 0002, Luyang Wang SLOGAN: SDC Probability Estimation Using Structured Graph Attention Network. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peiyu Chen, Meng Wu, Yufei Ma 0002, Le Ye, Ru Huang RIMAC: An Array-Level ADC/DAC-Free ReRAM-Based in-Memory DNN Processor with Analog Cache and Computation. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tianliang Ma, Zhihui Deng, Leilai Shao AutoFlex: Unified Evaluation and Design Framework for Flexible Hybrid Electronics. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Stephanie Soldavini, Donatella Sciuto, Christian Pilato Iris: Automatic Generation of Efficient Data Layouts for High Bandwidth Utilization. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yimeng Zhang, Akshay Karkal Kamath, Qiucheng Wu, Zhiwen Fan, Wuyang Chen, Zhangyang Wang, Shiyu Chang, Sijia Liu 0001, Cong Hao Data-Model-Circuit Tri-Design for Ultra-Light Video Intelligence on Edge Devices. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sarah Schneider, Lukas Burgholzer, Robert Wille A SAT Encoding for Optimal Clifford Circuit Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shengjie Xu, Bineet Ghosh, Clara Hobbs, P. S. Thiagarajan, Samarjit Chakraborty Safety-Aware Flexible Schedule Synthesis for Cyber-Physical Systems Using Weakly-Hard Constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruoyang Liu, Chenhan Wei, Yixiong Yang, Wenxun Wang, Huazhong Yang, Yongpan Liu Block-Wise Dynamic-Precision Neural Network Training Acceleration via Online Quantization Sensitivity Analytics. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chuandong Chen, Dishi Lin, Rongshan Wei, Qinghai Liu, Ziran Zhu, Jianli Chen Efficient Global Optimization for Large Scaled Ordered Escape Routing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Takuma Nagao, Tomoki Nakamura, Masuo Kajiyama, Makoto Eiki, Michiko Inoue, Michihiro Shintani Wafer-Level Characteristic Variation Modeling Considering Systematic Discontinuous Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuyuan Yu, Sheldon X.-D. Tan PAALM: Power Density Aware Approximate Logarithmic Multiplier Design. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chenlin Shi, Shinobu Miwa, Tongxin Yang, Ryota Shioya, Hayato Yamaki, Hiroki Honda CNFET7: An Open Source Cell Library for 7-nm CNFET Technology. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yung-Chih Chen, Feng-Jie Chao Optimization of Reversible Logic Networks with Gate Sharing. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiechen Huang, Wenjian Yu, Mingye Song, Ming Yang 0033 Accelerated Capacitance Simulation of 3-D Structures with Considerable Amounts of General Floating Metals. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guanglei Zhou, Jason Helge Anderson Area-Driven FPGA Logic Synthesis Using Reinforcement Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Paul R. Genssler, Mohsen Imani, Mariam Issa, Xun Jiao, Wegdan Mohammad, Gloria Sepanta, Ruixuan Wang Beyond von Neumann Era: Brain-Inspired Hyperdimensional Computing to the Rescue. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yanzhao Wang, Fei Xie, Zhenkun Yang, Pasquale Cocchini, Jin Yang 0006 An Equivalence Checking Framework for Agile Hardware Design. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mahabubul Alam, Satwik Kundu, Swaroop Ghosh Knowledge Distillation in Quantum Neural Network Using Approximate Synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hsiao-Lun Liu, Yi-Ting Li, Yung-Chih Chen, Chun-Yao Wang A Robust Approach to Detecting Non-Equivalent Quantum Circuits Using Specially Designed Stimuli. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiarui Wang, Jing Mai, Zhixiong Di, Yibo Lin A Robust FPGA Router with Concurrent Intra-CLB Rerouting. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Guangxin Guo, Hailong You, Zhengguang Tang, Benzheng Li, Cong Li, Xiaojue Zhang ASSURER: A PPA-friendly Security Closure Framework for Physical Design. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jingxiao Ma, Sherief Reda RUCA: RUntime Configurable Approximate Circuits with Self-Correcting Capability. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaoxuan Yang, Shiyu Li, Qilin Zheng, Yiran Chen 0001 Improving the Robustness and Efficiency of PIM-Based Architecture by SW/HW Co-Design. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peng Hui, Edwin H.-M. Sha, Qingfeng Zhuge, Rui Xu 0013, Han Wang Optimizing Data Layout for Racetrack Memory in Embedded Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pavlos Stoikos, George Floros 0002, Dimitrios Garyfallou, Nestor E. Evmorfopoulos, George I. Stamoulis A Fast Semi-Analytical Approach for Transient Electromigration Analysis of Interconnect Trees Using Matrix Exponential. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kevin Mato, Martin Ringbauer, Stefan Hillmich, Robert Wille Compilation of Entangling Gates for High-Dimensional Quantum Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaoyu Feng, Chen Tang, Zongkai Zhang, Wenyu Sun, Yongpan Liu Semantic Guided Fine-Grained Point Cloud Quantization Framework for 3D Object Detection. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Onur Mutlu, Ataberk Olgun, Abdullah Giray Yaglikçi Fundamentally Understanding and Solving RowHammer. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuqing Yang, Weidong Yang, Qin Wang 0009, Naifeng Jing, Jianfei Jiang 0001, Zhigang Mao, Weiguang Sheng An Efficient near-Bank Processing Architecture for Personalized Recommendation System. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Aya Fukami, Francesco Regazzoni 0001, Zeno J. M. H. Geradts Data Sanitization on eMMCs. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ahmet Faruk Budak, David Smart, Brian Swahn, David Z. Pan APOSTLE: Asynchronously Parallel Optimization for Sizing Analog Transistors Using DNN Learning. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yao-Chung Hsu, Atsutake Kosuge, Rei Sumikawa, Kota Shiba, Mototsugu Hamada, Tadahiro Kuroda A Fully Synthesized 13.7μJ/Prediction 88% Accuracy CIFAR-10 Single-Chip Data-Reusing Wired-Logic Processor Using Non-Linear Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shun Yamaguchi, Mahfuzul Islam 0001, Takashi Hisakado, Osami Wada A Fully Synchronous Digital LDO with Built-in Adaptive Frequency Modulation and Implicit Dead-Zone Control. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alessandro Tempia Calvino, Giovanni De Micheli Depth-Optimal Buffer and Splitter Insertion and Optimization in AQFP Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bowen Liu, Dajiang Liu Towards High-Bandwidth-Utilization SpMV on FPGAs via Partial Vector Duplication. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuo Yin, Guohao Dai, Wei W. Xing High-Dimensional Yield Estimation Using Shrinkage Deep Features and Maximization of Integral Entropy Reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 3979 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license