The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-DAT"( http://dblp.L3S.de/Venues/VLSI-DAT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vlsi-dat

Publication years (Num. hits)
2012 (92) 2013 (94) 2014 (83) 2015 (87) 2017 (68) 2018 (71) 2019 (70) 2020 (61) 2021 (32) 2022 (50)
Publication types (Num. hits)
inproceedings(698) proceedings(10)
Venues (Conferences, Journals, ...)
VLSI-DAT(708)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 708 publication records. Showing 708 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ming-Nan Cheng AIoT Security - from the Perspective of a Microcontroller. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shao-Yu Shu, Chun-Hung Lin, Ching-Yuan Yang A 5-GHz Sub-Sampling Phase-Locked Loop With Pulse-Width to Current Conversion. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mike Shuo-Wei Chen Non-Uniform Sampling Data Converters: A Journey to Uncharted Circuits and Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kung-Yen Lee The Applications of SiC Power Devices in Renewable Energy and EV. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1K. Lawrence Loh Technology Challenges to IC Industry for Next Decade. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wei-Cheng Chou, Cheng-Wei Huang, Juinn-Dar Huang Hardware-Friendly Progressive Pruning Framework for CNN Model Compression using Universal Pattern Sets. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pai-Yu Tan, Chih-Hsuan Tung, Cheng-Wen Wu, Mincent Lee, Gordon Liao A Memory Built-In Peer-Repair Architecture for Mesh-Connected Processor Array. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kushagra Agarwal, Aryamaan Jain, Deepthi Amuru, Zia Abbas Fast and efficient ResNN and Genetic optimization for PVT aware performance enhancement in digital circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yi-Chieh Kao, Hung-An Chen, Hsi-Pin Ma An FPGA-Based High-Frequency Trading System for 10 Gigabit Ethernet with a Latency of 433 ns. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pen-Jui Peng Design of ultra-high-speed Transmitters Beyond 100Gb/s in CMOS Technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. P. Pavan Kumar, Cheng-Jyun Tang, Kun-Chih Jimmy Chen Composite Fault Diagnosis of Rotating Machinery With Collaborative Learning. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jen-Wei Liang Practical Considerations of In-Memory Computing in the Deep Learning Accelerator Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuji Yano, Hisashi Iwamoto, Takuma Yoshimura, Yoshihiro Nishida, Tatsuya Mori, Kiyotaka Komoku, Hidekuni Takao, Kazutami Arimoto 28-m W Fully Embedded AI Techniques with On-site Learning for Low-Power Handy Tactile Sensing System. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hong-Hao Wang, Po-Yao Chuang, Cheng-Wen Wu A Thermal Quorum Sensing Scheme for Enhancement of Integrated-Circuit Reliability and Lifetime. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kang-Yi Fan, Jyun-Hua Chen, Chien-Nan Liu, Juinn-Dar Huang Performance Optimization for MLP Accelerators using ILP-Based On-Chip Weight Allocation Strategy. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1I-Hsuan Wu, Ming-Dou Ker Single Chip of Electrostatic Discharge Detector for IC Manufacturing Field Control. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zahra Heshmatpour, Lihong Zhang, Howard M. Heys Robust CNFET Circuit Sizing Optimization. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Yen-Chang Shih, Katherine Shu-Min Li, Chen-Yeh Lin, Song-Kong Chong Improving IJTAG Test Efficiency and Security. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Che-Chang Yang, Yung-Tai Shih, Chun-Chen Chen, Chih-Tsun Huang, Jing-Jia Liou, Yao-Hua Chen, Juin-Ming Lu Efficient Segment-wise Pruning for DCNN Inference Accelerators. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Youbiao He, Hebi Li, Jin Tian 0001, Forrest Sheng Bao Circuit Routing Using Monte Carlo Tree Search and Deep Reinforcement Learning. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mitsuhisa Sato The Supercomputer "Fugaku". Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zong-Hua Tsai, Aaron C.-W. Liang, Charles H.-P. Wen SlewFTA: Functional Timing Analysis Considering Slew Propagation. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yi-Da Hsin, Yen-Shi Kuo, Bo-Cheng Lai Distributed Sorting Architecture on Multiple FPGA. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chen-Yi Lee Bio-Chips for Fast Medial Tests Networks. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yun-Shiang Shu Introduction of Noise-Shaping SAR ADCs. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yoojin Ban Silicon Photonics for Scaling the Cloud and Enabling AI. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Youngcheol Chae Low-power Continuous-time Delta-sigma ADCs. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vincent Hsu 2.5D & 3DIC Advanced Packaging: An EDA Perspective. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chong-Yin Lu, Ren-Song Tsay, Weyshin Chang An Embedded CNN Design for Edge Devices Based on Logarithmic Computing. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Takekazu Tabata A64FX: 52 Core Processor Designed for the Supercomputer Fugak. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhi-Heng Kang, Yu-Chi Yen, Guan-Yu Su, Shen-Iuan Liu An Adaptive Digital PLL Based on BBPFD Transition Probability. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Massimo Alioto Circuits and Architectures for Next-generation Attentive & Intelligent Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Taisuke Boku How FPGA can contribute to HPC ? Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ming-Wei Lin A Silicon Photonics Technology for 400 Gbit/s Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Meng-Yi Wu Hardware Root-of-Trust Design Based on on-chip PUF for AIoT Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shien-Chun Luo, Kuo-Chiang Chang, Po-Wei Chen, Zhao-Hong Chen Configurable Deep Learning Accelerator with Bitwise-accurate Training and Verification. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1TaiKang Shing Wide Band Gap Devices for Power System. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1 2022 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2022, Hsinchu, Taiwan, April 18-21, 2022 Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wan-Ting Chang, Chih-Hung Kuo, Li-Chun Fang Variational Channel Distribution Pruning and Mixed-Precision Quantization for Neural Network Model Compression. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chi Liu, Shao-Tzu Li, Tong-Lin Pan, Cheng-En Ni, Yun Sung, Chia-Lin Hu, Kang-Yu Chang, Tuo-Hung Hou, Tian-Sheuan Chang, Shyh-Jye Jou An 1-bit by 1-bit High Parallelism In-RRAM Macro with Co-Training Mechanism for DCNN Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tung-Yi Chan Challenges and Opportunities in Building Secure IoT Platforms. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xingyu Wang, Ruilin Zhang, Yuxin Wang, Kunyang Liu, Xuanzhen Wang, Hirofumi Shinohara A 0.116pJ/bit Latch-Based True Random Number Generator with Static Inverter Selection and Noise Enhancement. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1L. C. Lu Semiconductor Evolution for Chip and System Design- From 2D Scaling to 3D Heterogeneous Integration. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ching-Che Chung, Yi-Ting Tsai A Body Channel Communication Transceiver with a 16x Oversampling CDR and Convolutional Codes. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Boris Murmann Bridging the Physical and Digital Worlds in Data-Driven Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Akhilesh Kumar, Norman Chang, David Geb, Haiyang He, Stephen H. Pan, Jimin Wen, Saeed Asgari, Mehdi Abarham, Chris Ortiz ML-based Fast On-Chip Transient Thermal Simulation for Heterogeneous 2.5D/3D IC Designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chih-Wen Huang Product level design considerations and solutions for RF GaN applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yen-Min Tseng, Yu-Chi Yen, Shen-Iuan Liu An Injection-Locked Clock Multiplier With Injection Strength Calibration. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yeu-Haw Yeh, Simon Yi-Hung Chen, Hung-Ming Chen, Deng-Yao Tu, Guan-Qi Fang, Yun-Chih Kuo, Po-Yang Chen Substrate Signal Routing Solution Exploration for High-Density Packages with Machine Learning. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yen-Po Lai, Hao-Hsuan Chang, Tai-Cheng Lee An Asynchronous Zero-Crossing-Based Incremental Delta-Sigma Converter. Search on Bibsonomy VLSI-DAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ying-Yao Huang, Chang-Tzu Lin, Wei-Lun Liang, Hung-Ming Chen Learning Based Placement Refinement to Reduce DRC Short Violations. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Tzu-Heng Chang, Katherine Shu-Min Li Machine Learning Assisted Challenge Selection for Modeling Attack Resistance in Strong PUFs. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chia-Wei Kao, Che-Wei Hsu, Jia-Sheng Huang, Yu-Cheng Huang, Shih-Che Kuo, Chia-Hung Chen A 677-μW 90-dB DR 16-kHz BW Incremental ΔΣ ADC for Sensor Interfaces. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Victor M. van Santen, Linda Schillinger, Hussam Amrouch Self-Heating Effects from Transistors to Gates. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ling-Yen Song, Chih-Shen Yeh, Chien-Nan Liu, Juinn-Dar Huang Storage-Aware Scheduling Algorithm for Reservoir Switching Minimization on Digital Microfluidic Biochips. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jie-Wei Lai Opportunity and Challenge of Chiplet-Based HPC and AIoT. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chang Hao, Xu Yong, Tianming Ni A Test Method for Large-size TSV Considering Resistive Open Fault and Leakage Fault Coexistence. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1M. D. Arafat Kabir, Weishiun Hung, Tsung-Yi Ho, Yarui Peng Holistic and In-Context Design Flow for 2.5D Chiplet-Package Interaction Co-Optimization. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lih-Yih Chiou, Jing-Yu Huang, Chi-Kuan Li, Chen-Chung Tsai A Reliable Near-Threshold Voltage SRAM-Based PUF Utilizing Weight Detection Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Siya Bao, Masashi Tawada, Shu Tanaka, Nozomu Togawa An Approach to the Vehicle Routing Problem with Balanced Pick-up Using Ising Machines. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1You-Xin Ling, Tsung-Heng Tsai A 6.78MHz Wireless Power Transfer System with Maximum Power Tracking over Wide Load Range. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guan-Yu Su, Zhi-Heng Kang, Shen-Iuan Liu An Adaptive Loop Gain Tracking Digital PLL Using Spectrum-Balancing Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Iris Hui-Ru Jiang, Yao-Wen Chang, Jiun-Lang Huang, Chung-Ping Chen Opportunities for 2.5/3D Heterogeneous SoC Integration. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Min-Yan Su, Wei-Chen Lin, Yen-Ting Kuo, Chien-Mo James Li, Eric Jia-Wei Fang, Sung S.-Y. Hsueh Chip Performance Prediction Using Machine Learning Techniques. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Hsien Lin, Chi Liu, Chia-Lin Hu, Kang-Yu Chang, Jia-Yin Chen, Shyh-Jye Jou A Reconfigurable In-SRAM Computing Architecture for DCNN Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yu-Sheng Lin, Wei-Chao Chen, Trista Pei-Chun Chen Tensor-Centric Processor Architecture for Applications in Advanced Driver Assistance Systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chia-Ning Liu, Yu-An Lai, Chih-Hung Kuo, Shi-An Zhan Design of 2D Systolic Array Accelerator for Quantized Convolutional Neural Networks. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo-En Chen, Bo-Yen Lin, Bo-Cheng Lai Reconfigurable Database Processor for Query Acceleration on FPGA. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Matthias Eberlein, Harald Pretl A Compact Thermal Sensor with Duty-Cycle Modulation on 1200 µm2 in 7nm FinFET. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yen-Ting Chen, Mao-Ling Chiu, How-Wei Teng, Tsung-Hsien Lin A Hybrid Supply Modulator for 10-MHz LTE Power Amplifier with 17.3% PAE Improvement. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pin-Han Chen Intelligence Everywhere: The Challenges and Opportunities for Semiconductor Designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2021, Hsinchu, Taiwan, April 19-22, 2021 Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tsung-Wei Huang Machine Learning System-Enabled GPU Acceleration for EDA. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yen-Min Tseng, Yu-Chi Yen, Shen-Iuan Liu A Digital Phase-Locked Loop With Background Supply Noise Cancellation. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hiroshi Doyu, Roberto Morabito, Martina Brachmann A TinyMLaaS Ecosystem for Machine Learning in IoT: Overview and Research Challenges. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kun-Chih Jimmy Chen, Chun-Chuan Wang, Cheng-Kang Tsai, Jing-Wen Liang Dynamic Mapping Mechanism to Compute DNN Models on a Resource-limited NoC Platform. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kun-Chih Jimmy Chen, Jing-Wen Liang, Yueh-Chi Yang, Hsiang-Ling Tai, Jo-Chiao Ku, Jui-Cheng Wang Embedded Bearing Fault Detection Platform Design for the Drivetrain System in the Future Industry 4.0 Era. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Po-Hsin Lin, Chang-Lin Shih, Davy P. Y. Wong, Pai H. Chou Gait Parameters Analysis Based on Leg-and-shoe-mounted IMU and Deep Learning. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Venkatesh G. Kadlimatti, Sumit Bhat Shutdown mode implementation for Boost and Inverting Buck-Boost converter. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Samuel Liu, Jen-Ho Kuo, Luba Tang, Ning-Chi Huang, Der-Yu Tsai, M.-H. Yang, Kai-Chiang Wu ONNC Compiler Used in Fault-Mitigating Mechanisms Analysis on NVDLA-Based and ReRAM-Based Edge AI Chip Design. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Han-Sheng Huang, Ming-Dou Ker Design of 2xVDD-Tolerant Power-Rail ESD Clamp Circuit Against False Trigger During Fast Power-ON Events. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bo-Wei Chen, Yung-Hui Chung, Chia-Ming Tsai An 8-Bit 1.25-GS/s 2.5-GHz ERBW Folding-Subrange ADC with Power-Efficient Metastability Error Reduction Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi-Qi Lin, Andrew Patterson Design Solutions for 5G Power Amplifiers using 0.15μm and 0.25μm GaN HEMTs. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chih-Hsiang Hsu, Shao-Yun Fang Stitch-Aware Routing Considering Smart Boundary for Multiple E-Beam Lithography. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shyue-Kung Lu, Zeng-Long Tsai, Chun-Lung Hsu, Chi-Tien Sun Fault-Aware ECC Techniques for Reliability Enhancement of Flash Memory. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tai-Chen Chen, Pei-Yu Lee, Tung-Chieh Chen Automatic Floorplanning for AI SoCs. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Cheng-Kuang C. K. Lee Deep Learning Creativity in EDA. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Herming Chiueh, Chia-Hsiang Yang, Charles H.-P. Wen, Chao-Guang Yang, Po-Hao Chien, Ching-Yang Hung, Yu-Jui Chen, Yao-Pin Wang, Chin-Fong Chiu, Jer Lin Radiation-Harden RISC Processor for Micro-Satellites in Standard CMOS. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shen-Fu Hsiao, Yu-Hong Chen Flexible Multi-Precision Accelerator Design for Deep Convolutional Neural Networks Considering Both Data Computation and Communication. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Zhen-Cheng Zhang, Chun-Yuan Chiu, Hsiang-Cheng Yuan, Tsung-Hsien Lin A 0.5-V, 1.79-μW, 250-kbps Wake-up Receiver for IoT application in 90-nm CMOS. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Krishnendu Chakrabarty Hardware Trojan Detection at Run-time using Machine-Learning Techniques. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Po-Yu Li, Wei-En Lee, Ching-Tzung Lin, Li-Te Wu, Tsung-Hsien Lin A CMOS Temperature Sensor Based on a Chopped Continuous-Time Delta-Sigma Modulator. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ming-Chia Chang, Min-Hsuan Wu, Shen-Iuan Liu A 500nW-50μ W Indoor Photovoltaic Energy Harvester with Multi-mode MPPT. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kea-Tiong Tang Computing-In-Memory a Processing-In-Sensor Techniques for Low-Power Edge Devices. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kai-Min Chang, Yen-Ju Lin, Chia-Liang Wei, Soon-Jyh Chang Resistor-Based Temperature Sensing Chip with Digital Output. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chun-Yu Lin 0002, Tun-Ju Wang, Yu-Ting Hung, Tsung-Hsien Lin A 1-200MHz Multiple Output Fractional Divider Using Phase Rotating Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ming-Da Tsai, Song-Yu Yang, Chi-Yao Yu, Ping-Yu Chen, Tzung-Han Wu, Mohammed Hassan, Chi-Tsan Chen, Chao-Wei Wang, Yen-Chuan Huang, Li-Han Huang, Wei-Hao Chiu, Anson Lin, Bo-Yu Lin, Arnaud Werquin, Chien-Cheng Lin, Yen-Horng Chen, Jen-Che Tsai, Yuan-Yu Fu, Bernard Tenbroek, Chinq-Shiun Chiu, Yi-Bin Lee, Guang-Kaai Dehng RFIC and RF Module for 5G Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jerald Yoo Wearables to Electronics: The Key Enabler for Personalized Healthcare. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Koji Nii, Yasuhiro Taniguchi, Kosuke Okuyama A Cost-Effective Embedded Nonvolatile Memory with Scalable LEE Flash®-G2 SONOS for Secure IoT and Computing-in-Memory (CiM) Applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yih Wang Memory for Data-Centric Computing: A Technology Perspective. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 708 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license