The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Hongbo Rong Tree register allocation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, chordal graph
17Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt Improving memory bank-level parallelism in the presence of prefetching. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang SHARP control: controlled shared cache management in chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Boris Grot, Stephen W. Keckler, Onur Mutlu Preemptive virtual clock: a flexible, efficient, and cost-effective QOS scheme for networks-on-chip. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das Application-aware prioritization mechanisms for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks
17Jaume Abella 0001, Javier Carretero, Pedro Chaparro, Xavier Vera, Antonio González 0001 Low Vccmin fault-tolerant cache with highly predictable performance. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Vccmin, cache, faults, predictable performance
17Wonsun Ahn, Shanxiang Qi, M. Nicolaides, Josep Torrellas, Jae-Woo Lee, Xing Fang, Samuel P. Midkiff, David C. Wong 0001 BulkCompiler: high-performance sequential consistency through cooperative compiler and hardware support. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF atomic region, chunk-based architecture, compiler optimization, sequential consistency
17Dana Vantrease, Nathan L. Binkert, Robert Schreiber, Mikko H. Lipasti Light speed arbitration and flow control for nanophotonic interconnects. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Vladimir Cakarevic, Petar Radojkovic, Javier Verdú, Alex Pajuelo, Francisco J. Cazorla, Mario Nemirovsky, Mateo Valero Characterizing the resource-sharing levels in the UltraSPARC T2 processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sun Nigara T2, CMP, job scheduling, simultaneous multithreading, performance characterization, CMT
17Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 Optimizing shared cache behavior of chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Daniel Hackenberg, Daniel Molka, Wolfgang E. Nagel Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nehalem, Shanghai, benchmark, multi-core, coherency
17Haibo Chen 0001, Liwei Yuan, Xi Wu 0001, Binyu Zang, Bo Huang 0002, Pen-Chung Yew Control flow obfuscation with information flow tracking. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF control flow obfuscation, opaque predicate, information flow tracking, control speculation
17Jason Zebchuk, Vijayalakshmi Srinivasan, Moinuddin K. Qureshi, Andreas Moshovos A tagless coherence directory. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF directory coherence, cache coherence, Bloom filters
17Alex Shye, Benjamin Scholbrock, Gokhan Memik Into the wild: studying real user activity patterns to guide power optimizations for mobile architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Mainak Chaudhuri Pseudo-LIFO: the foundation of a new family of replacement policies for last-level caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-multiprocessor, replacement policy, last-level cache
17Mitchell Hayenga, Natalie D. Enright Jerger, Mikko H. Lipasti SCARAB: a single cycle adaptive routing and bufferless network. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnection networks, multi-core
17Efraim Rotem, Avi Mendelson, Ran Ginosar, Uri C. Weiser Multiple clock and voltage domains for chip multi processors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock domains, voltage domain, power management, DVFS, chip multi processor
17Siva Kumar Sastry Hari, Man-Lap Li, Pradeep Ramachandran, Byn Choi, Sarita V. Adve mSWAT: low-cost hardware fault detection and diagnosis for multicore systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, multicore processors
17Dongyoon Lee, Mahmoud Said, Satish Narayanasamy, Zijiang Yang 0006, Cristiano Pereira Offline symbolic analysis for multi-processor execution replay. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-processor replay, shared-memory dependencies, SMT solver
17Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
17Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge Proactive transaction scheduling for contention management. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF proactive scheduling, software runtime, hardware transactional memory
17Gabriel H. Loh Extending the effectiveness of 3D-stacked DRAM caches with an adaptive multi-queue policy. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Changhee Jung, Nathan Clark DDT: design and evaluation of a dynamic program analysis for optimizing data structure usage. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data structure identification, interface functions, memory graphs
17Gilles Pokam, Cristiano Pereira, Klaus Danne, Rolf Kassa, Ali-Reza Adl-Tabatabai Architecting a chunk-based memory race recorder in modern CMPs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory race recorder, determinism, deterministic replay
17Mohit Tiwari, Xun Li 0001, Hassan M. G. Wassel, Frederic T. Chong, Timothy Sherwood Execution leases: a hardware-supported mechanism for enforcing strong non-interference. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate level information flow tracking, covert channels, high assurance systems, timing channels
17Dyer Rolán, Basilio B. Fraguela, Ramon Doallo Adaptive line placement with the set balancing cache. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, adaptivity, cache, balancing
17Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López 0001, José Duato An hybrid eDRAM/SRAM macrocell to implement first-level data caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF retention time, static and dynamic memory cells, leakage current
17Brandon Lucia, Luis Ceze Finding concurrency bugs with context-aware communication graphs. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bo Zhao 0007, Yu Du, Youtao Zhang, Jun Yang 0002 Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D die stacking, NUCA, process variation, DRAM
17Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Chi-Keung Luk, Sunpyo Hong, Hyesoon Kim Qilin: exploiting parallelism on heterogeneous multiprocessors with adaptive mapping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive, GPU, mapping, heterogeneous, multicore, dynamic compilation
17Meeta Sharma Gupta, Jude A. Rivers, Pradip Bose, Gu-Yeon Wei, David M. Brooks Tribeca: design for PVT variations with local recovery and fine-grained adaptation. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17George Kornaros, Spyros Blionas Microarchitecture of a MultiCore SoC for Data Analysis of a Lab-on-Chip Microarray. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chang-Burm Cho, Wangyuan Zhang, Tao Li 0006 Modeling and Analyzing the Effect of Microarchitecture Design Parameters on Microprocessor Soft Error Vulnerability. Search on Bibsonomy MASCOTS The full citation details ... 2008 DBLP  BibTeX  RDF
17Diana Marculescu, Sani R. Nassif Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  BibTeX  RDF
17Michael B. Healy, Fayez Mohamood, Hsien-Hsin S. Lee, Sung Kyu Lim A unified methodology for power supply noise reduction in modern microarchitecture design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Bin Li 0008, Lu Peng 0001, Balachandran Ramadass Efficient mart-aided modeling for microarchitecture design space exploration and performance prediction. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MART-aided models, performance prediction, design space exploration
17Arun Rangasamy, Rahul Nagpal, Y. N. Srikant Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dvs, dynamic energy, energy, multiple clock domains
17Yong-Kyu Jung Non-FPGA-based Field-programmable Self-repairable (FPSR) Microarchitecture. Search on Bibsonomy AHS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xiangyu Dong, Xiaoxia Wu, Guangyu Sun 0003, Yuan Xie 0001, Hai Li 0001, Yiran Chen 0001 Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking, MRAM
17Deborah T. Marr Microarchitecture Choices and Tradeoffs for Maximizing Processing Efficiency. Search on Bibsonomy 2008   RDF
17Mark Woh, Yuan Lin 0002, Sangwon Seo, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Richard Bruce, Danny Kershaw, Alastair Reid 0001, Mladen Wilder, Krisztián Flautner From SODA to scotch: The evolution of a wireless baseband processor. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Livio Soares, David K. Tam, Michael Stumm Reducing the harmful effects of last-level cache polluters with an OS-level, software-only pollute buffer. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Aqeel Mahesri, Daniel R. Johnson, Neal Clayton Crago, Sanjay J. Patel Tradeoffs in designing accelerator architectures for visual computing. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Haiming Liu 0001, Michael Ferdman, Jaehyuk Huh 0001, Doug Burger Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Arun Raghavan, Colin Blundell, Milo M. K. Martin Token tenure: PATCHing token counting using directory-based cache coherence. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Isidro Gonzalez, Marco Galluzzi, Alexander V. Veidenbaum, Marco Antonio Ramírez, Adrián Cristal, Mateo Valero A distributed processor state management architecture for large-window processors. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chen Tian 0002, Min Feng 0001, Vijay Nagarajan, Rajiv Gupta 0001 Copy or Discard execution model for speculative parallelization on multicores. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Abhishek Das, Berkin Özisikyilmaz, Serkan Ozdemir, Gokhan Memik, Joseph Zambreno, Alok N. Choudhary Evaluating the effects of cache redundancy on profit. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17M.-C. Frank Chang, Jason Cong, Adam Kaplan, Chunyue Liu, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, Sai-Wang Tam Power reduction of CMP communication networks via RF-interconnects. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Mohit Tiwari, Banit Agrawal, Shashidhar Mysore, Jonathan Valamehr, Timothy Sherwood A small cache of large ranges: Hardware methods for efficiently searching, storing, and updating big dataflow tags. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xi E. Chen, Tor M. Aamodt Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Venkatraman Govindaraju, Peter Djeu, Karthikeyan Sankaralingam, Mary K. Vernon, William R. Mark Toward a multicore architecture for real-time ray-tracing. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Eugene Gorbatov, Howard David, Zhichun Zhu Mini-rank: Adaptive DRAM architecture for improving memory power efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17David E. Shaw Architectures and algorithms for millisecond-scale molecular dynamics simulations of proteins. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Eric Chun, Zeshan Chishti, T. N. Vijaykumar Shapeshifter: Dynamically changing pipeline width and speed to address process variations. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Natalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ramazan Bitirgen, Engin Ipek, José F. Martínez Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alok Garg, Michael C. Huang 0001 A performance-correctness explicitly-decoupled architecture. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Joseph L. Greathouse, Ilya Wagner, David A. Ramos, Gautam Bhatnagar, Todd M. Austin, Valeria Bertacco, Seth Pettie Testudo: Heavyweight security analysis via statistical sampling. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kypros Constantinides, Onur Mutlu, Todd M. Austin Online design bug detection: RTL analysis, flexible mechanisms, and evaluation. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Benjamin C. Lee, Jamison D. Collins, Hong Wang 0003, David M. Brooks CPR: Composable performance regression for scalable multiprocessor models. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Vikas R. Vasisht, Hsien-Hsin S. Lee SHARK: Architectural support for autonomic protection against stealth by rootkit exploits. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ofer Shacham, Megan Wachs, Alex Solomatnikov, Amin Firoozshahian, Stephen Richardson, Mark Horowitz Verification of chip multiprocessor memory systems using a relaxed scoreboard. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt Prefetch-Aware DRAM Controllers. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hany E. Ramadan, Christopher J. Rossbach, Emmett Witchel Dependence-aware transactional memory for increased concurrency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Zhenghong Wang, Ruby B. Lee A novel cache architecture with enhanced performance and security. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Amit Kumar 0002, Li-Shiuan Peh, Niraj K. Jha Token flow control. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Temporal instruction fetch streaming. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nidhi Aggarwal, James E. Smith 0001, Kewal K. Saluja, Norman P. Jouppi, Parthasarathy Ranganathan Implementing high availability memory with a duplication cache. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Behnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley Strategies for mapping dataflow blocks to distributed hardware. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Alex Shye, Yan Pan, Benjamin Scholbrock, J. Scott Miller, Gokhan Memik, Peter A. Dinda, Robert P. Dick Power to the people: Leveraging human physiological traits to control microprocessor frequency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Abhishek Tiwari 0002, Josep Torrellas Facelift: Hiding and slowing down aging in multicores. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Renée St. Amant, Daniel A. Jiménez, Doug Burger Low-power, high-performance analog neural branch prediction. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shantanu Gupta, Shuguang Feng, Amin Ansari, Jason A. Blome, Scott A. Mahlke The StageNet fabric for constructing resilient multicore systems. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Luke Yen, Stark C. Draper, Mark D. Hill Notary: Hardware techniques to enhance signatures. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Samuel Rodrigo, José Flich, José Duato, Mark Hummel Efficient unicast and multicast support for CMPs. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yuho Jin, Ki Hwan Yum, Eun Jung Kim 0001 Adaptive data compression for high-performance low-power on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Reconfigurable energy efficient near threshold cache architectures. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hung Q. Le, William J. Starke, J. Stephen Fields, Francis P. O'Connell, Dung Q. Nguyen, Bruce J. Ronchetti, Wolfram Sauer, Eric M. Schwarz, Michael T. Vaden IBM POWER6 microarchitecture. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Brian K. Flachs, Shigehiro Asano, Sang H. Dhong, H. Peter Hofstee, Gilles Gervais, Roy Kim, Tien Le, Peichun Liu, Jens Leenstra, John S. Liberty, Brad W. Michael, Hwa-Joon Oh, Silvia M. Müller, Osamu Takahashi, Koji Hirairi, Atsushi Kawasumi, Hiroaki Murakami, Hiromi Noro, Shoji Onishi, Juergen Pille, Joel Silberman, Suksoon Yong, Akiyuki Hatakeyama, Yukio Watanabe, Naoka Yano, Daniel A. Brokenshire, Mohammad Peyravian, VanDung To, Eiji Iwata Microarchitecture and implementation of the synergistic processor in 65-nm and 90-nm SOI. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 1-5 December 2007, Chicago, Illinois, USA Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  BibTeX  RDF
17Santosh Talli, Ram Srinivasan, Jeanine E. Cook Compiler-Directed Functional Unit Shutdown for Microarchitecture Power Optimization. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yongxiang Liu, Yuchun Ma, Eren Kursun, Glenn Reinman, Jason Cong Fine grain 3D integration for microarchitecture design through cube packing exploration. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sreekumar V. Kodakara, Jinpyo Kim, David J. Lilja, Wei-Chung Hsu, Pen-Chung Yew Analysis of Statistical Sampling in Microarchitecture Simulation: Metric, Methodology and Program Characterization. Search on Bibsonomy IISWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Chang-Burm Cho, Wangyuan Zhang, Tao Li 0006 Characterizing the Effect of Microarchitecture Design Parameters on Workload Dynamic Behavior. Search on Bibsonomy IISWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jason Zebchuk, Elham Safi, Andreas Moshovos A Framework for Coarse-Grain Optimizations in the On-Chip Memory Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Matthew J. Bridges, Neil Vachharajani, Yun Zhang 0005, Thomas B. Jablin, David I. August Revisiting the Sequential Programming Model for Multi-Core. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Daniel Sánchez 0003, Luke Yen, Mark D. Hill, Karthikeyan Sankaralingam Implementing Signatures for Transactional Memory. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache archi- tectures (NUCA), on-chip intercon- nects, memory hierarchies, cache models
17Sebastian Winkel Optimal versus Heuristic Global Code Scheduling. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Brian Rogers, Siddhartha Chhabra, Milos Prvulovic, Yan Solihin Using Address Independent Seed Encryption and Bonsai Merkle Trees to Make Secure Processors OS- and Performance-Friendly. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jaume Abella 0001, Xavier Vera, Antonio González 0001 Penelope: The NBTI-Aware Processor. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Karin Strauss, Xiaowei Shen, Josep Torrellas Uncorq: Unconstrained Snoop Request Delivery in Embedded-Ring Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Radu Teodorescu, Jun Nakano, Abhishek Tiwari 0002, Josep Torrellas Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jangwoo Kim, Nikos Hardavellas, Ken Mai, Babak Falsafi, James C. Hoe Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17John Kim, James D. Balfour, William J. Dally Flattened Butterfly Topology for On-Chip Networks. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Onur Mutlu, Thomas Moscibroda Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Wilson W. L. Fung, Ivan Sham, George L. Yuan, Tor M. Aamodt Dynamic Warp Formation and Scheduling for Efficient GPU Control Flow. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #1501 - #1600 of 2639 (100 per page; Change: )
Pages: [<<][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][24][25][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license