The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microprocessor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1975 (36) 1976 (26) 1977 (56) 1978 (42) 1979 (45) 1980 (48) 1981 (37) 1982 (67) 1983 (51) 1984 (53) 1985 (39) 1986 (46) 1987 (52) 1988 (65) 1989 (46) 1990 (45) 1991 (40) 1992 (30) 1993 (36) 1994 (67) 1995 (73) 1996 (66) 1997 (107) 1998 (122) 1999 (114) 2000 (156) 2001 (119) 2002 (176) 2003 (205) 2004 (233) 2005 (233) 2006 (233) 2007 (259) 2008 (221) 2009 (135) 2010 (95) 2011 (60) 2012 (69) 2013 (68) 2014 (57) 2015 (56) 2016 (51) 2017 (39) 2018 (43) 2019 (48) 2020 (30) 2021 (45) 2022 (28) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(1239) book(14) incollection(22) inproceedings(2766) phdthesis(39) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2784 occurrences of 1319 keywords

Results
Found 4097 publication records. Showing 4097 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh, Don McCaule, Patrick Morrow, Donald W. Nelson, Daniel Pantuso, Paul Reed, Jeff Rupley, Sadasivan Shankar, John Paul Shen, Clair Webb Die Stacking (3D) Microarchitecture. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Anna Slobodová Challenges for Formal Verification in Industrial Setting. Search on Bibsonomy FMICS/PDMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ndubuisi Ekekwe, Ralph Etienne-Cummings, Peter Kazanzides A configurable VLSI chip for DC motor control for compact, low-current robotic systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shiwen Hu, Lizy Kurian John Impact of virtual execution environments on processor energy consumption and hardware adaptation. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware adaptation, energy efficiency, power dissipation
18Michael B. Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF microprocessors, distributed architectures, Interconnection architectures
18Cecilia Metra, Stefano Di Francescantonio, T. M. Mak Implications of Clock Distribution Faults and Issues with Screening Them during Manufacturing Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock faults, Testing, clock distribution network, manufacturing test
18Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A self-tuning cache architecture for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
18Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A Self-Tuning Cache Architecture for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
18Steffen Köhler, Jens Braunes, Thomas Preußer, Martin Zabel, Rainer G. Spallek Increasing ILP of RISC Microprocessors Through Control-Flow Based Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Todd M. Austin Designing robust microarchitectures. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF computer system design, reliable microarchitecture design, low-power, microarchitecture, system-on-a-chip
18Michael Bedford Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Chris R. Jesshope Multi-threaded Microprocessors - Evolution or Revolution. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Fabrice Baray, Philippe Codognet, Daniel Diaz 0001, Henri Michel Code-Based Test Generation for Validation of Functional Processor Descriptions. Search on Bibsonomy TACAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Code-based test generation, functional hardware verification, constraint solving techniques
18Giorgos Dimitrakopoulos, Xrysovalantis Kavousianos, Dimitris Nikolos Virtual-scan: a novel approach for software-based self-testing of microprocessors. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Zoran A. Salcic, Partha S. Roop, Morteza Biglari-Abhari, Abbas Bigdeli REFLIX: A Processor Core for Reactive Embedded Applications. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Greg Stitt, Brian Grattan, Jason R. Villarreal, Frank Vahid Using On-Chip Configurable Logic to Reduce Embedded System Software Energy. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Frank Vahid, Rilesh Patel, Greg Stitt Propagating constants past software to hardware peripherals in fixed-application embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, low power, synthesis, system-on-a-chip, intellectual property, platforms, cores, tuning, constant propagation
18David M. Harris, Sam Naffziger Statistical clock skew modeling with data delay variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Peter-Michael Seidel, Lee D. McFearin, David W. Matula Binary Multiplication Radix-32 and Radix-256. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Hironori Komi, Antonio Ortega Analysis of Cache Efficiency in 2D Wavelet Transform. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18R. D. Arthan Analysis of Compiled Code: A Prototype Formal Model. Search on Bibsonomy ZB The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Gang Qu 0001, Naoyuki Kawabe, Kimiyoshi Usami, Miodrag Potkonjak Function-level power estimation methodology for microprocessors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Jae J. Chang, Myunghee Lee, Sungyong Jung, Martin A. Brooke, Nan M. Jokerst, D. Scott Wills Fully differential current-input CMOS amplifier front-end suppressing mixed signal substrate noise for optoelectronic applications. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18David Van Campenhout, Hussain Al-Asaad, John P. Hayes, Trevor N. Mudge, Richard B. Brown High-level design verification of microprocessors via error modeling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design verification, error modeling, design errors
18Phillip J. Windley Specifying Instruction-Set Architectures in HOL: A Primer. Search on Bibsonomy TPHOLs The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Carl Steidley ROBOTICS: A Hands-On Introduction to Hardware (Abstract). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Nikitas J. Dimopoulos, Ben Huber, Kin F. Li, D. Caughey, Marco A. Escalante, Dongni Li, R. Burnett, Eric G. Manning Modelling Signal Behaviour in DAME. Search on Bibsonomy IEA/AIE (Vol. 2) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Philip Heidelberger, M. Seetha Lakshmi A Performance Comparison of Multi-Micro and Mainframe Database Architectures. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Manfred Ruschitzka, Andrew Choi, John L. Clevenger Sibyl: a relational database system with remote-access capabilities. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18William Hyman, William Lively A proposed study to access the impact of microprocessors on health care delivery. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Paul M. Russo Microprocessors at work: session overview. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
18Teresa L. McLaurin, Stylianos Diamantidis, Irakis Diamantidis The ARM Cortex-A8 Microprocessor IEEE Std 1500 Wrapper. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Gang Jin, Lei Wang 0011, Zhiying Wang The Design of Asynchronous Microprocessor Based on Optimized NCL_X Design-Flow. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Kevin Reick, Pia N. Sanda, Scott B. Swaney, Jeffrey W. Kellington, Michael J. Mack, Michael S. Floyd, Daniel Henderson Fault-Tolerant Design of the IBM Power6 Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, fault isolation, RAS, instruction retry, Hot Chips 19
18Deepak Mathaikutty, Sreekumar V. Kodakara, Ajit Dingankar, Sandeep K. Shukla, David J. Lilja MMV: A Metamodeling Based Microprocessor Validation Environment. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Kip Killpack, Suriyaprakash Natarajan, Arun Krishnamachary, Pouria Bastani Case Study on Speed Failure Causes in a Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Nima Mehdizadeh, Mohammad Shokrollah-Shirazi, Seyed Ghassem Miremadi Analyzing fault effects in the 32-bit OpenRISC 1200 microprocessor. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Chun-Liang Hsu, Sheng-Yuan Yang, Wei-Bin Wu 0001 Implementing Speech-Recognition Microprocessor into Intelligent Control-System of Home-Appliance. Search on Bibsonomy APSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Andreas Ehliar, Per Karlström, Dake Liu A high performance microprocessor with DSP extensions optimized for the Virtex-4 FPGA. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Md. Manzur Rahman, Md. Nayim Kabir, S. M. Shahriar Rashid Microprocessor Based Design of the Control Mechanism of Automatic Mail Sorting Machine. Search on Bibsonomy CSSE (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hongnan Zhang, Chao Jiang, Yayou Huang, Saichun Hu Design of Infrared Signal Emission Based on MCS-51 Microprocessor. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Da Wang, Rui Li, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 A Case Study on At-Speed Testing for a Gigahertz Microprocessor. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test power consumption, test coverage, at-speed testing, test time, test data volume
18Rajaraman Ramanarayanan, Sanu Mathew, Vasantha Erraguntla, Ram Krishnamurthy 0001, Shay Gueron A 2.1GHz 6.5mW 64-bit Unified PopCount/BitScan Datapath Unit for 65nm High-Performance Microprocessor Execution Cores. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Babu Turumella, Mukesh Sharma Assertion-based verification of a 32 thread SPARCTM CMT microprocessor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, verification, coverage, assertions, multi-threading
18Dimitris Gizopoulos, Robert C. Aitken, Sandip Kundu Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF branch prediction, processor architectures, Pipeline processors, performance of systems, hardware/software interfaces, computer system implementation
18Anita Lungu, Daniel J. Sorin Verification-Aware Microprocessor Design. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Dipnarayan Guha, Thambipillai Srikanthan Reconfigurable Frame Parser Design for Multi-Radio Support on Asynchronous Microprocessor Cores. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rajesh Thirugnanam, Dong Sam Ha, T. M. Mak Data Recovery Block Design for Impulse Modulated Power Line Communications in a Microprocessor. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Noriyuki Ito, Hiroaki Komatsu, Akira Kanuma, Akihiro Yoshitake, Yoshiyasu Tanamura, Hiroyuki Sugiyama, Ryoichi Yamashita, Ken-ichi Nabeya, Hironobu Yoshino, Hitoshi Yamanaka, Masahiro Yanagida, Yoshitomo Ozeki, Kinya Ishizaka, Takeshi Kono, Yutaka Isoda Design Methodology for 2.4GHz Dual-Core Microprocessor. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Lachezar Yordanov, Miroslav Mihaylov, Vladimir Vitkov Advanced microprocessor system with serial memory for harvester testing. Search on Bibsonomy CompSysTech The full citation details ... 2007 DBLP  DOI  BibTeX  RDF automatic control, computer systems and technologies, grain harvester, serial interface module, microcontroller
18Nagarajan Venkateswaran, Arjun Kumeresh, Harish Chandran DNA Based Evolutionary Approach for Microprocessor Design Automation. Search on Bibsonomy ICANNGA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jing Wang, Shengbing Zhang, Zhang Meng Testing of a 32-bit High Performance Embedded Microprocessor. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Chunta Chu, Xinyi Zhang, Lei He 0001, Tong Jing Temperature aware microprocessor floorplanning considering application dependent power load. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Michael J. Schulte, Dimitri Tan, Carl Lemonds Floating-point division algorithms for an x86 microprocessor with a rectangular multiplier. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kimish Patel, Wonbok Lee, Massoud Pedram Active bank switching for temperature control of the register file in a microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register file, thermal model, temperature-aware design
18Constantine A. Murenin Generalised Interfacing with Microprocessor System Hardware Monitors. Search on Bibsonomy ICNSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alon Flaisher, Alon Gluska, Eli Singerman Case study: Integrating FV and DV in the Verification of the Intel CoreTM 2 Duo Microprocessor. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sharath Jayaprakash, Nihar R. Mahapatra Partitioned Hybrid Encoding to Minimize On-Chip Energy Dissipation ofWide Microprocessor Buses. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sreekumar V. Kodakara, Deepak Mathaikutty, Ajit Dingankar, Sandeep K. Shukla, David J. Lilja Model Based Test Generation for Microprocessor Architecture Validation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Andrew DeOrio, Adam Bauserman, Valeria Bertacco Chico: An On-chip Hardware Checker for Pipeline Control Logic. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Pedro Trancoso Adaptive High-End Microprocessor for Power-Performance Efficiency. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Seong-Won Lee, Neungsoo Park, Jean-Luc Gaudiot Low Power Microprocessor Design for Embedded Systems. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin Ultra low-cost defect protection for microprocessor pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-protection, reliability, pipelines, low-cost
18Alon Gluska Practical methods in coverage-oriented verification of the merom microprocessor. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF logic design, coverage, logic verification, functional coverage
18Jorge Campos, Hussain Al-Asaad Circuit Profiling Mechanisms for High-Level {ATPG}. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tamarah Arons, Elad Elster, Terry Murphy, Eli Singerman Embedded Software Validation: Applying Formal Techniques for Coverage and Test Generation. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Formal methods, Test generation, Software verification and validation
18Vasanth Venkatachalam, Michael Franz Power reduction techniques for microprocessor systems. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF power reduction, Energy dissipation
18Fred A. Bower, Sule Ozev, Daniel J. Sorin Autonomic Microprocessor Execution via Self-Repairing Arrays. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Logic design reliability and testing, microprocessors and microcomputers
18Bob Bentley Validating a Modern Microprocessor. Search on Bibsonomy CAV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Harit Modi, Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Accurate Modeling of Aggressive Speculation in Modern Microprocessor Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Greg Stitt, Frank Vahid A Decompilation Approach to Partitioning Software for Microprocessor/FPGA Platforms. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Pedro Trancoso Design Space Navigation for Neighboring Power-Performance Efficient Microprocessor Configurations. Search on Bibsonomy ARCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Zoran A. Salcic, Dong Hui, Partha S. Roop, Morteza Biglari-Abhari REMIC: design of a reactive embedded microprocessor core. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Luís Gomes 0001, Anikó Costa Remote Laboratory Support for an Introductory Microprocessor Course. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ryuichi Takahashi, Hajime Ohiwa Legitimate Peripheral Participation on FPGA for Fine-Grain Microprocessor Design Education. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jean-Samuel Chenard, Ahmed Usman Khalid, Milos Prokic, Rong Zhang, K.-L. Lim, Atanu Chattopadhyay, Zeljko Zilic Expandable and Robust Laboratory for Microprocessor Systems. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero Automatic Completion and Refinement of Verification Sets for Microprocessor Cores. Search on Bibsonomy EvoWorkshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jesse Fang Challenges and Opportunities on Multi-core Microprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ernesto Sánchez 0001, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero, Luca Sterpone, Massimo Violante New evolutionary techniques for test-program generation for complex microprocessor cores. Search on Bibsonomy GECCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF evolutionary algorithms, automatic test program generation
18William Lloyd Bircher, M. Valluri, J. Law, Lizy K. John Runtime identification of microprocessor energy saving opportunities. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF speculative microprocessors, modeling, energy efficiency, power
18James W. Tschanz, Siva G. Narendra, Ali Keshavarzi, Vivek De Adaptive circuit techniques to minimize variation impacts on microprocessor performance and power. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Nicolas Renaud How to Cope with SEU/SET at Chip Level? The Example of a Microprocessor Family. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yue Luo, Ajay Joshi, Aashish Phansalkar, Lizy Kurian John, Joydeep Ghosh Analyzing and Improving Clustering Based Sampling for Microprocessor Simulation. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Sreejit Chakravarty, Yi-Shing Chang, Hiep Hoang, Sridhar Jayaraman, Silvio Picano, Cheryl Prunty, Eric W. Savage, Rehan Sheikh, Eric N. Tran, Khen Wee Experimental Evaluation of Bridge Patterns for a High Performance Microprocessor. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yale N. Patt The microprocessor of the year 2014: do Pentium 4, Pentium M, and Power 5 provide any hints? Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Allon Adir, Hezi Azatchi, Eyal Bin, Ofer Peled, Kirill Shoikhet A generic micro-architectural test plan approach for microprocessor verification. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF generic test plan, test generation, coverage, micro-architecture, dynamic verification
18Umar Saif, James W. Anderson, Anthony Degangi, Anant Agarwal Gigabit routing on a software-exposed tiled-microprocessor. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RAW router, tiled architecture, programmable router
18Jorge Campos, Hussain Al-Asaad Search-Space Optimizations for High-Level ATPG. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Charles H.-P. Wen, Li-C. Wang Simulation Data Mining for Functional Test Pattern Justification. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Christopher T. Weaver, Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt Reducing the Soft-Error Rate of a High-Performance Microprocessor. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Fred A. Bower, Paul G. Shealy, Sule Ozev, Daniel J. Sorin Tolerating Hard Faults in Microprocessor Array Structures. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Piotr Gawkowski, Janusz Sosnowski Evaluation of Transient Fault Susceptibility in Microprocessor Systems. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Steven G. Dropsho, Greg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott Dynamically Trading Frequency for Complexity in a GALS Microprocessor. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Srihari Makineni, Ravi R. Iyer 0001 Architectural Characterization of TCP/IP Packet Processing on the Pentium M Microprocessor. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mario García-Valderas, Eduardo de la Torre, F. Ariza, Teresa Riesgo Hardware and Software Debugging of FPGA Based Microprocessor Systems Through Debug Logic Insertion. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Daisuke Maruyama, Akira Kanuma, Takashi Mochiyama, Hiroaki Komatsu, Yaroku Sugiyama, Noriyuki Ito Detection of multiple transitions in delay fault test of SPARC64 microprocessor. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Nir Magen, Avinoam Kolodny, Uri C. Weiser, Nachum Shamir Interconnect-power dissipation in a microprocessor. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect power, wire spacing, routing, low-power design
18Yue Luo, Lizy Kurian John, Lieven Eeckhout Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 4097 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license