The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Synthesis with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (15) 1959-1961 (18) 1962-1963 (24) 1964-1965 (43) 1966-1967 (38) 1968 (33) 1969 (18) 1970 (19) 1971 (16) 1972 (15) 1973 (16) 1974 (29) 1975 (38) 1976 (40) 1977 (43) 1978 (64) 1979 (49) 1980 (42) 1981 (50) 1982 (58) 1983 (51) 1984 (66) 1985 (116) 1986 (131) 1987 (151) 1988 (175) 1989 (261) 1990 (385) 1991 (343) 1992 (364) 1993 (419) 1994 (670) 1995 (556) 1996 (563) 1997 (638) 1998 (810) 1999 (798) 2000 (905) 2001 (872) 2002 (1042) 2003 (1127) 2004 (1330) 2005 (1419) 2006 (1557) 2007 (1634) 2008 (1728) 2009 (1274) 2010 (1485) 2011 (1092) 2012 (1265) 2013 (1428) 2014 (1465) 2015 (1315) 2016 (1581) 2017 (1534) 2018 (1743) 2019 (1994) 2020 (2041) 2021 (2333) 2022 (2508) 2023 (2800) 2024 (641)
Publication types (Num. hits)
article(15949) book(72) data(11) incollection(258) inproceedings(27856) phdthesis(975) proceedings(157)
Venues (Conferences, Journals, ...)
CoRR(4238) ICASSP(818) INTERSPEECH(809) CODES+ISSS(775) ALIFE(711) DAC(700) IEEE Trans. Comput. Aided Des....(668) CASES(604) LOPSTR(567) SSW(528) ICCAD(473) DATE(457) ICMC(455) CDC(419) SMACD(397) ACC(343) More (+10 of total 4355)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 14306 occurrences of 4820 keywords

Results
Found 45278 publication records. Showing 45278 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Mikael R. K. Patel A design representation for high level synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Data Structures, High Level Synthesis, Design Automation, Design Representation
23Liliana Díaz-Olavarrieta, Safwat G. Zaky A new synthesis technique for multilevel combinational circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF testing, mappings, synthesis, Combinational
23Kassem Saleh, Robert L. Probert Synthesis of Error-Recoverable Protocol Specifications from Service Specifications. Search on Bibsonomy ICCI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF syntactic correctness, synthesis, error-recovery, protocol design, semantic correctness, Communication software
23Yaoxue Zhang, Kaoru Takahashi, Norio Shiratori, Shoichi Noguchi An Interactive Protocol Synthesis Algorithm Using a Global State Transition Graph. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF interactive protocol synthesis algorithm, global state transition graph, global state transition graph, deadlock avoidance rules, complete reception, protocols, graph theory, finite-state machines, finite automata, buffer overflow, production rules, interactive programming, deadlock freeness
23Ted J. Biggerstaff Factored Specifications In The Synthesis Of LISP Functions. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Automatic program synthesis, Factored specifications, LISP programs, LISP, Program specification
22Zhen-Hua Ling, Korin Richmond, Junichi Yamagishi, Ren-Hua Wang Integrating Articulatory Features Into HMM-Based Parametric Speech Synthesis. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Zahra Sasanian, Mehdi Saeedi, Mehdi Sedighi, Morteza Saheb Zamani A cycle-based synthesis algorithm for reversible logic. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Armando Solar-Lezama The Sketching Approach to Program Synthesis. Search on Bibsonomy APLAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Yun Sheng, Abdul Hamid Sadka, Ahmet M. Kondoz Automatic Single View-Based 3-D Face Synthesis for Unsupervised Multimedia Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Lei Cheng 0001, Deming Chen, Martin D. F. Wong DDBDD: Delay-Driven BDD Synthesis for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Rafael Castro-López, Oscar Guerra, Elisenda Roca, Francisco V. Fernández 0001 An Integrated Layout-Synthesis Approach for Analog ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Lin Yuan, Gang Qu 0001, Tiziano Villa, Alberto L. Sangiovanni-Vincentelli An FSM Reengineering Approach to Sequential Circuit Synthesis by State Splitting. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Andrew B. Kahng, Kambiz Samadi CMP Fill Synthesis: A Survey of Recent Studies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Robert Wille, Hoang Minh Le 0001, Gerhard W. Dueck, Daniel Große Quantified Synthesis of Reversible Logic. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Sujan Pandey, Rolf Drechsler Slack Allocation Based Co-Synthesis and Optimization of Bus and Memory Architectures for MPSoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Andrey Mokhov, Alexandre Yakovlev Conditional Partial Order Graphs and Dynamically Reconfigurable Control Synthesis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22James McDermott, Niall Griffith, Michael O'Neill 0001 Evolutionary Computation Applied to Sound Synthesis. Search on Bibsonomy The Art of Artificial Evolution The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Almitra Pradhan, Ranga Vemuri On the Use of Hash Tables for Efficient Analog Circuit Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Rui Zhang, Pallav Gupta, Niraj K. Jha Majority and Minority Network Synthesis With Application to QCA-, SET-, and TPL-Based Nanotechnologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sehoon Yea, Anthony Vetro RD-Optimized View Synthesis Prediction for Multiview Video Coding. Search on Bibsonomy ICIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Chandan Karfa, Chittaranjan A. Mandal, Dipankar Sarkar 0001, Chris Reade Register Sharing Verification During Data-Path Synthesis. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mumtaz Siddiqui, Alex Villazón, Thomas Fahringer Semantic-Based On-demand Synthesis of Grid Activities for Automatic Workflow Generation. Search on Bibsonomy eScience The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mehdi Saeedi, Morteza Saheb Zamani, Mehdi Sedighi On the Behavior of Substitution-based Reversible Circuit Synthesis Algorithms: Investigation and Improvement. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sujan Pandey, Christian Genz, Rolf Drechsler Co-synthesis of custom on-chip bus and memory for MPSoC architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Vyas Krishnan, Srinivas Katkoori Minimizing wire delays by net-topology aware binding during floorplan- driven high level synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Kevin Oo Tinmaung, David Howland, Russell Tessier Power-aware FPGA logic synthesis using binary decision diagrams. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, binary decision diagram, dynamic power
22Robert Wille, Daniel Große Fast exact Toffoli network synthesis of reversible logic. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Charles J. Alpert, Chris C. N. Chu, Paul G. Villarrubia The coming of age of physical synthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Borzoo Bonakdarpour, Sandeep S. Kulkarni Exploiting Symbolic Techniques in Automated Synthesis of Distributed Programs with Large State Space. Search on Bibsonomy ICDCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Lei Cheng 0001, Deming Chen, Martin D. F. Wong DDBDD: Delay-Driven BDD Synthesis for FPGAs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Mitsuko Aramaki, Richard Kronland-Martinet Analysis-synthesis of impact sounds by real-time dynamic filtering. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Keiichi Tokuda An HMM-Based Approach to Flexible Speech Synthesis. Search on Bibsonomy ISCSLP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Lech Józwiak, Dominik Gawlowski, Aleksander Slusarczyk Multi-objective Optimal Controller Synthesis for Heterogeneous Embedded Systems. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Rainer Leupers, Kingshuk Karuri, Stefan Kraemer, Manas Pandey A design flow for configurable embedded processors based on optimized instruction set extension synthesis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Zhigang Gao, Zhaohui Wu 0001 Implementation Synthesis of Embedded Software under the Group-Based Scheduling Model. Search on Bibsonomy RTCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Wen-Chieh Lin, James Hays, Chenyu Wu, Yanxi Liu 0001, Vivek Kwatra Quantitative Evaluation of Near Regular Texture Synthesis Algorithms. Search on Bibsonomy CVPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yulia Korukhova Automatic Deductive Synthesis of Lisp Programs in the System ALISA. Search on Bibsonomy JELIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Ryan Mannion, Harry Hsieh, Susan Cotterell, Frank Vahid System Synthesis for Networks of Programmable Blocks. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Bernd Finkbeiner, Sven Schewe Uniform Distributed Synthesis. Search on Bibsonomy LICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jason Cong, Yiping Fan, Guoling Han, Yizhou Lin, Junjuan Xu, Zhiru Zhang, Xu Cheng 0001 Bitwidth-aware scheduling and binding in high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Ron van der Meyden, Thomas Wilke Synthesis of Distributed Systems from Knowledge-Based Specifications. Search on Bibsonomy CONCUR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Renqiu Huang, Ranga Vemuri On-Line Synthesis for Partially Reconfigurable FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Rui Zhang, Pallav Gupta, Lin Zhong 0001, Niraj K. Jha Synthesis and Optimization of Threshold Logic Networks with Application to Nanotechnologies. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Lech Józwiak, Szymon Bieganski Information Trans-Coders in Information-Driven Circuit Synthesis. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jhing-Fa Wang, Han-Jen Hsu, Hong-Ming Wang Intelligent Sub-patch Texture Synthesis Algorithm for Smart Camera. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Vida Kianzad, Shuvra S. Bhattacharyya CHARMED: A Multi-Objective Co-Synthesis Framework for Multi-Mode Embedded Systems. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Paulo Tabuada Open Maps, Alternating Simulations and Control Synthesis. Search on Bibsonomy CONCUR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ravi Saini, Pramod Tanwar, A. S. Mandal, S. C. Bose, Raj Singh, Chandra Shekhar 0001 Design of an Application Specific Instruction Set Processor for Parametric Speech Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Alex Doboli, Ranga Vemuri Behavioral modeling for high-level synthesis of analog and mixed-signal systems from VHDL-AMS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Zhun Fan, Kisung Seo, Jianjun Hu, Ronald C. Rosenberg, Erik D. Goodman System-Level Synthesis of MEMS via Genetic Programming and Bond Graphs. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Madhubanti Mukherjee, Ranga Vemuri A Novel Synthesis Strategy Driven by Partial Evaluation Based Circuit Reduction for Application Specific DSP Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Weidong Wang, Niraj K. Jha, Anand Raghunathan, Sujit Dey High-level Synthesis of Multi-process Behavioral Descriptions. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Liming Xiu, Zhihong You A "flying-adder" architecture of frequency and phase synthesis with scalability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Srivaths Ravi 0001, Niraj K. Jha Test synthesis of systems-on-a-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Ramesh Karri, Balakrishnan Iyer, Israel Koren Phantom redundancy: a register transfer level technique for gracefully degradable data path synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Thomas A. Henzinger, Sriram C. Krishnan, Orna Kupferman, Freddy Y. C. Mang Synthesis of Uninitialized Systems. Search on Bibsonomy ICALP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Yue Yu, Jiebo Luo, Chang Wen Chen Multiresolution Block Sampling-Based Method for Texture Synthesis. Search on Bibsonomy ICPR (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Junhyung Um, Jae-Hoon Kim 0001, Taewhan Kim Layout-driven resource sharing in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Keith S. Vallerio, Niraj K. Jha Task graph transformation to aid system synthesis. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Aleksander Slusarczyk, Lech Józwiak Interoperability and Quality of New EDA Tools for Sequential Logic Synthesis. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Cheng-Yuan Lin, Jyh-Shing Roger Jang, Shaw-Hwa Hwang An On-the-Fly Mandarin Singing Voice Synthesis System. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Kiyoshi Akama, Hidekatsu Koike, Hiroshi Mabuchi A Theoretical Foundation of Program Synthesis by Equivalent Transformation. Search on Bibsonomy Ershov Memorial Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Chih-Yuan Chen, Shing-Wu Tung ELITE Design Methodology of Foundation IP for Improving Synthesis Quality. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Sujatha Sundararaman, Sriram Govindarajan, Ranga Vemuri Application Specific Macro Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Srivaths Ravi 0001, Niraj K. Jha Synthesis of System-on-a-chip for Testability. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Song Chen, Adam Postula Synthesis of custom interleaved memory systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22U. Nagaraj Shenoy, Prithviraj Banerjee, Alok N. Choudhary A System-Level Synthesis Algorithm with Guaranteed Solution Quality. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Boubakeur Boufama The Use of Homographies for View Synthesis. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Xue-Jie Zhang, Kam-Wing Ng, Wayne Luk A Combined Approach to High-Level Synthesis for Dynamically Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Apostolos A. Kountouris, Christophe Wolinski High Level Pre-Synthesis Optimization Steps Using Hierarchical Conditional Dependency Graphs. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Zhang Yang, Rajesh K. Gupta 0001 A Case Analysis of System Partitioning and Its Relationship To High-Level Synthesis Tasks. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Hakim Kahlouche STEPS: A Software Tool-set for automatEd Protocol Synthesis. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Pao-Ann Hsiung, Sao-Jie Chen, Tsung-Chien Hu, Shih-Chiang Wang PSM: an object-oriented synthesis approach to multiprocessor system design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Luca Benini, Giovanni De Micheli Automatic synthesis of low-power gated-clock finite-state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Taewhan Kim, C. L. Liu 0001 An integrated algorithm for incremental data path synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Jun Gu, Ruchir Puri Asynchronous circuit synthesis with Boolean satisfiability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Hyeong-Kyo Kim, Thomas P. Barnwell III A design synthesis system for recursive DSP algorithms represented by fully specified flow graphs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Jaewon Kim, Sung-Mo Kang A timing-driven data path layout synthesis with integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF routing, integer programming, timing-driven placement, data path, bit-slice
22Alex Orailoglu, Ramesh Karri Coactive scheduling and checkpoint determination during high level synthesis of self-recovering microarchitectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22D. L. Springer, Donald E. Thomas Exploiting the special structure of conflict and compatibility graphs in high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Daniel D. Gajski, Loganath Ramachandran Introduction to High-Level Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Kenneth Y. Yun, Bill Lin 0001, David L. Dill, Srinivas Devadas Performance-driven synthesis of asynchronous controllers. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Jörg Biesenack, Michael Koster, Anton Langmaier, Stephane Ledeux, Sabine März, Michael Payer, Michael Pilsl, Steffen Rumler, Holger Soukup, Norbert Wehn, Peter Duzy The Siemens high-level synthesis system CALLAS. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Raul Camposano, Larry F. Saunders, Raja M. Tabet VHDL as Input for High-Level Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Efim B. Kinber Some Models of Inductive Syntactical Synthesis from Sample Computations. Search on Bibsonomy Baltic Computer Science The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Giovanni De Micheli, David C. Ku, Frédéric Mailhot 0001, Thomas K. Truong The Olympus Synthesis System. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Anup Hosangadi, Farzan Fallah, Ryan Kastner Algebraic Methods for Optimizing Constant Multiplications in Linear Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constant multiplications, DSP synthesis, high level synthesis, linear systems, common subexpression elimination, algebraic methods
21Amir H. Farrahi, David J. Hathaway, Maogang Wang, Majid Sarrafzadeh Quality of EDA CAD Tools: Definitions, Metrics and Directions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design Quality Metrics, Integrated Design Exploration, Incremental Synthesis, Layout-Driven Synthesis' System-Level Interconnect Prediction and Planning, Tool Interoperability, Deep Submicron Designs
21Biplav Srivastava, Subbarao Kambhampati, Amol Dattatraya Mali A Structured Approach for Synthesizing Planners from Specifications. Search on Bibsonomy ASE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF planners synthesis, domain-independent approach, error-proneness, manual coding, knowledge-based software synthesis tools, CLAY, Kestrel Interactive Development System, declarative control knowledge, AI, specifications, knowledge based systems, structured approach
21Subir Bandyopadhyay, Arunita Jaekel, Graham A. Jullien A Method for Synthesizing Area Efficient Multilevel PTL Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multilevel logic synthesis, logic synthesis, Pass transistor logic
21Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo, Wen-Bin Liao Easily Testable Data Path Allocation Using Input/Output Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testable data path allocation, behavioral synthesis systems, input/output registers, interconnection allocation, module allocation, higher fault coverage, lower hardware overhead, improved testability, VLSI synthesis, optimization, algorithms, benchmarks, ATPG, DFT, register allocation, circuit optimisation, RTL design
21C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
21Miodrag Potkonjak, Sujit Dey, Kazutoshi Wakabayashi Design-for-debugging of application specific designs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF debugging requirements, scheduling, computational complexity, combinatorial optimization, controllability, high level synthesis, design for testability, observability, application specific integrated circuits, circuit CAD, hardware support, polynomial time complexity, Design-for-Debugging, synthesis algorithm
21Ting-Yu Kuo, Chun-Yeh Liu, Kewal K. Saluja An optimized testable architecture for finite state machines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimized testable architecture, FSM synthesis, testable machine, transfer sequences, synthesis benchmark circuits, logic testing, finite state machines, finite state machines, sequential circuits, logic CAD, sequences, circuit optimisation, distinguishing sequences, synchronizing sequence
21B. M. Subraya, Anshul Kumar, Shashi Kumar An HOL based framework for design of correct high level synthesizers. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HOL based framework, high level synthesizer design, design correctness guarantee, verifiable templates, synthesis module correctness, formal verification, high level synthesis, modularity, formal logic, higher order logic, verification process, formal framework
21Janos Sztipanovits, Gabor Karsai, Csaba Biegl, Ted Bapty, Ákos Lédeczi, Amit Misra MULTIGRAPH: an architecture for model-integrated computing. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Multigraph Architecture, complex embedded systems, meta-level architecture, domain specific model integrated program synthesis environments, application specific model interpreters, executable programs synthesis, real-time systems, programming environments, computer architecture, program interpreters, model-integrated computing, integrated modeling, MULTIGRAPH, model analysis
21Jason Cong, Albert Liu, Bin Liu 0006 A variation-tolerant scheduler for better than worst-case behavioral synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, variation, behavioral synthesis
21Frank Vahid, Tony Givargis Highly-cited ideas in system codesign and synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware/software codesign, citations, system synthesis
21Michael Kipp, Michael Neff, Kerstin H. Kipp, Irene Albrecht Towards Natural Gesture Synthesis: Evaluating Gesture Units in a Data-Driven Approach to Gesture Synthesis. Search on Bibsonomy IVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Nonverbal Behavior Generation, Gesture Synthesis, Embodied Conversational Agents
21Manjunath Kudlur, Kevin Fan, Scott A. Mahlke Streamroller: : automatic synthesis of prescribed throughput accelerator pipelines. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF system-level synthesis, loop accelerator, application-specific hardware
Displaying result #501 - #600 of 45278 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license