|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8773 occurrences of 3334 keywords
|
|
|
Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
25 | Young-Su Kwon, Young-Il Kim, Chong-Min Kyung |
Systematic functional coverage metric synthesis from hierarchical temporal event relation graph. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
semi-formal verification, temporal event, functional coverage |
25 | Mitrajit Chatterjee, Dhiraj K. Pradhan |
A BIST Pattern Generator Design for Near-Perfect Fault Coverage. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
core logic, built-in self-test, synthesis, fault coverage, Linear feedback shift registers, test pattern generation, scan, SOC |
25 | Caroline M. Eastman, Bernard J. Jansen |
Coverage, relevance, and ranking: The impact of query operators on Web search engine results. |
ACM Trans. Inf. Syst. |
2003 |
DBLP DOI BibTeX RDF |
Relative precision, Web results, search engines, ranking, coverage, Boolean operators, query operators |
25 | James A. Jones, Mary Jean Harrold |
Test-Suite Reduction and Prioritization for Modified Condition/Decision Coverage. |
IEEE Trans. Software Eng. |
2003 |
DBLP DOI BibTeX RDF |
test-suite prioritization, modified condition/decision coverage, testing, Test-suite reduction, critical software |
25 | Nikhil Jayakumar, Mitra Purandare, Fabio Somenzi |
Dos and don'ts of CTL state coverage estimation. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
vacuity detection, model checking, state coverage |
25 | Shai Fine, Avi Ziv |
Coverage directed test generation for functional verification using bayesian networks. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
bayesian networks, functional verification, coverage analysis |
25 | Zaiqing Nie, Ullas Nambiar, Sreelakshmi Vaddi, Subbarao Kambhampati |
Mining coverage statistics for websource selection in a mediator. |
CIKM |
2002 |
DBLP DOI BibTeX RDF |
coverage statistics, web-based data integration, webmining to support query optimization |
25 | Sven Oliver Krumke, Madhav V. Marathe, Diana Poensgen, S. S. Ravi, Hans-Christoph Wirth |
Budgeted Maximum Graph Coverage. |
WG |
2002 |
DBLP DOI BibTeX RDF |
budgeted maximum coverage, approximation algorithm, integer linear programming, treewidth, maximum weight matching |
25 | Paolo Azzoni, Andrea Fedeli, Franco Fummi, Graziano Pravadelli, Umberto Rossi, Franco Toto |
An error simulation based approach to measure error coverage of formal properties. |
ACM Great Lakes Symposium on VLSI |
2002 |
DBLP DOI BibTeX RDF |
error coverage, error simulation, property checking |
25 | Alan W. Williams, Robert L. Probert |
A Measure for Component Interaction Test Coverage. |
AICCSA |
2001 |
DBLP DOI BibTeX RDF |
Software engineering, interactions, test coverage, system testing |
25 | Anna Maria Brosa, Joan Figueras |
On Maximizing the Coverage of Catastrophic and Parametric Faults. |
J. Electron. Test. |
2000 |
DBLP DOI BibTeX RDF |
analog & mixed-signal testing, fault coverage, set covering problems |
25 | Chien-Nan Jimmy Liu, Jing-Yang Jou |
An Efficient Functional Coverage Test for HDL Descriptions at RTL. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
verification, coverage, FSM, HDL |
25 | Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das |
Delay Fault Coverage Enhancement Using Variable Observation Times. |
J. Electron. Test. |
1997 |
DBLP DOI BibTeX RDF |
statistical delay fault coverage, delay test observation times, delay fault testing |
25 | Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara |
On the effects of test compaction on defect coverage. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
surrogate faults, fault diagnosis, test generation, integrated circuit testing, fault modeling, test sets, test compaction, defect coverage |
25 | Mitrajit Chatterjee, Dhiraj K. Pradhan |
A novel pattern generator for near-perfect fault-coverage. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault |
24 | Yingshu Li, Chinh T. Vu, Chunyu Ai, Guantao Chen, Yi Zhao 0005 |
Transforming Complete Coverage Algorithms to Partial Coverage Algorithms for Wireless Sensor Networks. |
IEEE Trans. Parallel Distributed Syst. |
2011 |
DBLP DOI BibTeX RDF |
Partial coverage, wireless sensor networks, energy efficiency |
23 | Andreas Holzer, Christian Schallhart, Michael Tautschnig, Helmut Veith |
Query-Driven Program Testing. |
VMCAI |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Mohammad Hossein Manshaei, Julien Freudiger, Márk Félegyházi, Peter Marbach, Jean-Pierre Hubaux |
On Wireless Social Community Networks. |
INFOCOM |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Yongjun Li 0002, Shanghong Zhao 0001, Jili Wu, Tian Li, Rui Hou, Lan Liu |
Designing of a Novel Optical Two-Layered Satellite Network. |
CSSE (4) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Sriram Chellappan, Xiaole Bai, Bin Ma 0002, Dong Xuan, Changqing Xu |
Mobility Limited Flip-Based Sensor Networks Deployment. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
Sensor networks deployment, limited mobility, flip-based sensors |
23 | Danilo Ravotto, Edgar E. Sánchez, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero |
On Automatic Test Block Generation for Peripheral Testing in SoCs via Dynamic FSMs Extraction. |
MTV |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Noah Bamford, Rekha Bangalore, Eric Chapman, Hector Chavez, Rajeev Dasari, Yinfang Lin, Edgar Jimenez |
Challenges in System on Chip Verification. |
MTV |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Willem Visser, Corina S. Pasareanu, Radek Pelánek |
Test input generation for java containers using state matching. |
ISSTA |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Hong Li, Peter Santago |
Automatic Colon Segmentation with Dual Scan CT Colonography. |
J. Digit. Imaging |
2005 |
DBLP DOI BibTeX RDF |
Computed tomographic colonography, polyp detection, 3-D segmentation, region-growing, marching-cubes |
23 | Sayantan Das 0001, Ansuman Banerjee, Prasenjit Basu, Pallab Dasgupta, P. P. Chakrabarti 0001, Chunduri Rama Mohan, Limor Fix |
Formal Methods for Analyzing the Completeness of an Assertion Suite against a High-Level Fault Model. |
VLSI Design |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Mohamed A. Gomaa, T. N. Vijaykumar |
Opportunistic Transient-Fault Detection. |
ISCA |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Alex Hills, Jon Schlegel, Ben Jenkins |
Estimating signal strengths in the design of an indoor wireless network. |
IEEE Trans. Wirel. Commun. |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Atanas Rountev, Ana L. Milanova, Barbara G. Ryder |
Fragment Class Analysis for Testing of Polymorphism in Java Software. |
IEEE Trans. Software Eng. |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul |
A test evaluation technique for VLSI circuits using register-transfer level fault modeling. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Giacomino Veltri, Qingfeng Huang, Gang Qu 0001, Miodrag Potkonjak |
Minimal and maximal exposure path algorithms for wireless embedded sensor networks. |
SenSys |
2003 |
DBLP DOI BibTeX RDF |
centralized optimal algorithms, wireless embedded sensor networks, simulation, localized algorithms |
23 | Guiling Wang 0001, Guohong Cao, Thomas F. La Porta |
A Bidding Protocol for Deploying Mobile Sensors. |
ICNP |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Jin-Cherng Lin, Ling-Hsuan Huang |
A Table Reduction Approach for Software Structure Testing. |
ICSM |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Ta-Chung Chang, Vikram Iyengar, Elizabeth M. Rudnick |
A Biased Random Instruction Generation Environment for Architectural Verification of Pipelined Processors. |
J. Electron. Test. |
2000 |
DBLP DOI BibTeX RDF |
architectural verification, biased random instruction generation, correctness checking, design error coverage, design verification, coverage metrics |
23 | Gregory Von Pless, Zack Butler 0001 |
Adaptive expert systems for indirect coverage control. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Ahmet Yazici, Gokhan Kirlik, Osman Parlaktuna, Aydin Sipahioglu |
A dynamic path planning approach for multi-robot sensor-based coverage considering energy constraints. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Young-Ho Choi, Tae-Kyeong Lee, Sanghoon Baek, Se-Young Oh |
Online complete coverage path planning for mobile robots based on linked spiral paths using constrained inverse distance transform. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Zhen Chen, Boxue Yin, Dong Xiang |
Conflict driven scan chain configuration for high transition fault coverage and low test power. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Chang-Wu Lee, Sung-Won Kim, Heon-Jong Lee, Youn-Hee Han, Doo-Soon Park, Young-Sik Jeong |
Visualization of the Constrained Coverage of Mobile Sensor Networks Based on GML. |
CSE (2) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Juan Caballero, Zhenkai Liang, Pongsin Poosankam, Dawn Song |
Towards Generating High Coverage Vulnerability-Based Signatures with Protocol-Level Constraint-Guided Exploration. |
RAID |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Lingming Zhang 0001, Ji Zhou, Dan Hao 0001, Lu Zhang 0023, Hong Mei 0001 |
Prioritizing JUnit test cases in absence of coverage information. |
ICSM |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Wei Wang 0002, Vikram Srinivasan, Kee Chaing Chua |
Coverage in Hybrid Mobile Sensor Networks. |
IEEE Trans. Mob. Comput. |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jenn-Wei Lin, Yi-Ting Chen |
Improving the coverage of randomized scheduling in wireless sensor networks. |
IEEE Trans. Wirel. Commun. |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Andrea Gasparri, Bhaskar Krishnamachari, Gaurav S. Sukhatme |
A framework for multi-robot node coverage in sensor networks. |
Ann. Math. Artif. Intell. |
2008 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classifications (2000) 93C85 |
23 | Sying-Jyan Wang, Kuo-Lin Peng, Kuang-Cyun Hsiao, Katherine Shu-Min Li |
Layout-aware scan chain reorder for launch-off-shift transition test coverage. |
ACM Trans. Design Autom. Electr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
scan chain ordering, test generation, transition faults, Scan test |
23 | Jaan Raik, Uljana Reinsalu, Raimund Ubar, Maksim Jenihhin, Peeter Ellervee |
Code Coverage Analysis using High-Level Decision Diagrams. |
DDECS |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Thomas Erlebach, Erik Jan van Leeuwen |
Approximating geometric coverage problems. |
SODA |
2008 |
DBLP BibTeX RDF |
|
23 | Yuzhen Liu, Weifa Liang |
Prolonging Network Lifetime for Target Coverage in Sensor Networks. |
WASA |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Nurcan Tezcan, Wenye Wang |
Self-Orienting Wireless Multimedia Sensor Networks for Maximizing Multimedia Coverage. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Krishnendu Chatterjee, Luca de Alfaro, Rupak Majumdar |
The Complexity of Coverage. |
APLAS |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Habib M. Ammari, Sajal K. Das 0001 |
Joint k-Coverage and Hybrid Forwarding in Duty-Cycled Three-Dimensional Wireless Sensor Networks. |
SECON |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Xiaofeng Han, Xiang Cao, Errol L. Lloyd, Chien-Chung Shen |
Deploying Directional Sensor Networks with Guaranteed Connectivity and Coverage. |
SECON |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Paul Ammann, Jeff Offutt, Wuzhi Xu |
Coverage Criteria for State Based Specifications. |
Formal Methods and Testing |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Youngtae Noh, Saewoom Lee, Kiseon Kim |
Basestation-Aided Coverage-Aware Energy-Efficient Routing Protocol for Wireless Sensor Networks. |
WCNC |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Weidong Wang, Yinghai Zhang, Zheng Chang, Yuanjiang Chu |
A Coverage-Based Handover Algorithm for High-speed Data Service. |
WCNC |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Virginia Papailiopoulou, Laya Madani, Lydie du Bousquet, Ioannis Parissis |
Extending Structural Test Coverage Criteria for Lustre Programs with Multi-clock Operators. |
FMICS |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Cheng Qian, Hairong Qi 0001 |
Coverage Estimation in the Presence of Occlusions for Visual Sensor Networks. |
DCOSS |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Dorit Baras, Laurent Fournier, Avi Ziv |
Automatic Boosting of Cross-Product Coverage Using Bayesian Networks. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Shan Gao 0001, Xiaoming Wang 0001, Yingshu Li |
p-Percent Coverage Schedule in Wireless Sensor Networks. |
ICCCN |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte |
On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. |
DFT |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Caleb T. Phillips, Russell Senior, Douglas C. Sicker, Dirk Grunwald |
Robust Coverage and Performance Testing for Large-Area Wireless Networks. |
AccessNets |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jieru Chi, Guowei Yang 0002, Jie Yang |
The on-line coverage algorithm and localization technique of the intelligent cleaning robot. |
ICNSC |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Zong Bo Hao, Nan Sang, Hang Lei |
Cooperative Coverage by Multiple Robots with Contact Sensors. |
RAM |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Sanghyeon Baeg |
Delay Fault Coverage Enhancement by Partial Clocking for Low-Power Designs With Heavily Gated Clocks. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Qixing Feng, Joe McGeehan, Andrew R. Nix |
Enhancing Coverage and Reducing Power Consumption in Peer-to-Peer Networks Through Airborne Relaying. |
VTC Spring |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Shireesh Verma, Ian G. Harris, Kiran Ramineni |
Interactive presentation: Automatic generation of functional coverage models from behavioral verilog descriptions. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Xin Ai 0002, Vikram Srinivasan, Chen-Khong Tham |
DRACo: Distributed, Robust an Asynchronous Coverage in Wireless Sensor Networks. |
SECON |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Ardian Ulvan, Robert Bestak |
Transmission Performance of Flexible Relay-based Networks on The Purpose of Extending Network Coverage. (PDF / PS) |
PWC |
2007 |
DBLP DOI BibTeX RDF |
Mobile relays, transmission performance, transmission capacity |
23 | Prasan Kumar Sahoo, Jang-Ping Sheu, Wei-Shin Lin |
Dynamic Coverage and Connectivity Maintenance Algorithms for Wireless Sensor Networks. |
COMSWARE |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Pavan Kumar Chittimalli, Mary Jean Harrold |
Re-computing Coverage Information to Assist Regression Testing. |
ICSM |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Bang Wang, Vikram Srinivasan, Kee Chaing Chua, Wei Wang 0002 |
Information Coverage and Network Lifetime in Energy Constrained Wireless Sensor Networks. |
LCN |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Pawin Vongmasa, Attawith Sudsang |
Coverage Diameters of Polygons. |
IROS |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Ian G. Harris |
A coverage metric for the validation of interacting processes. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Vasco Jerinic, Jan Langer, Ulrich Heinkel, Dietmar Müller 0001 |
New methods and coverage metrics for functional verification. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Xiaoling Wu 0004, Lei Shu 0001, Min Meng 0002, Jinsung Cho, Sungyoung Lee |
Coverage-Driven Self-Deployment for Cluster Based Mobile Sensor Networks. |
CIT |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Dan Tao, Huadong Ma, Liang Liu 0001 |
Coverage-Enhancing Algorithm for Directional Sensor Networks. |
MSN |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Qiang Ma 0001, Hisashi Miyamori, Yutaka Kidawara, Katsumi Tanaka |
Content-coverage Based Trust-oriented Evaluation Method for Information Retrieval. |
SKG |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Myra B. Cohen, Matthew B. Dwyer, Jiangfan Shi |
Coverage and adequacy in software product line testing. |
ROSATEA |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Satoshi Kataoka, Fuyuki Ishikawa, Shinichi Honiden |
PID-filtered Negotiation for Decision Making in Dynamic Coverage Problem. |
ICTAI |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Pang-Chieh Wang, Ting-Wei Hou, Ruei-Hong Yan |
Maintaining Coverage by Progressive Crystal-Lattice Permutation in Mobile Wireless Sensor Networks. |
ICSNC |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Meng-Chun Wueng, Shyh-In Hwang |
An Efficient K-Coverage Eligibility Algorithm on Sensor Networks. |
ISPA |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Ruifeng Guo, Subhasish Mitra, M. Enamul Amyeen, Jinkyu Lee, Srihari Sivaraj, Srikanth Venkataraman |
Evaluation of Test Metrics: Stuck-at, Bridge Coverage Estimate and Gate Exhaustive. |
VTS |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy |
Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. |
ASPLOS |
2006 |
DBLP DOI BibTeX RDF |
redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy |
23 | Jie Wu 0001, Fei Dai 0001 |
Efficient Broadcasting with Guaranteed Coverage in Mobile Ad Hoc Networks. |
IEEE Trans. Mob. Comput. |
2005 |
DBLP DOI BibTeX RDF |
simulation, mobility, mobile ad hoc networks (MANETs), Broadcasting, localized algorithms |
23 | Niklas Pettersson |
Measuring precision for static and dynamic design pattern recognition as a function of coverage. |
ACM SIGSOFT Softw. Eng. Notes |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Anna Gomolinska |
Rough Validity, Confidence, and Coverage of Rules in Approximation Spaces. |
Trans. Rough Sets |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Íñigo Ugarte, Pablo Sanchez |
Extended abstract: polynomial model-based evaluation of the branch coverage metric for functional verification of hardware systems. |
MEMOCODE |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Prabhat Mishra 0001, Nikil D. Dutt |
Functional Coverage Driven Test Generation for Validation of Pipelined Processors. |
DATE |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Kohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy |
On Improving Defect Coverage of Stuck-at Fault Tests. |
Asian Test Symposium |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yanli Cai, Minglu Li 0001, Wei Shu, Min-You Wu |
ACOS: A Precise Energy-Aware Coverage Control Protocol for Wireless Sensor Networks. |
MSN |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Abdesselam Lakehal, Ioannis Parissis |
Lustructu: A Tool for the Automatic Coverage Assessment of Lustre Programs. |
ISSRE |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yi Shang, Hongchi Shi |
Coverage and Energy Tradeoff in Density Control on Sensor Networks. |
ICPADS (1) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Lu Su, Qing Yang 0003, Quanlong Li, Xiaofei Xu |
Coverage Algorithm and Protocol in Heterogeneous Sensor Networks. |
ICCNMC |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yosi Ben-Asher, Igor Breger, Eitan Farchi, Ilia Gordon |
Optimal Algorithmic Debugging and Reduced Coverage Using Search in Structured Domains. |
Haifa Verification Conference |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Wei Li 0023, Seongmoon Wang, Srimat T. Chakradhar, Sudhakar M. Reddy |
Distance Restricted Scan Chain Reordering to Enhance Delay Fault Coverage. |
VLSI Design |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Xiangwen Liu, Huifeng Hou, Jinya Yang, Hongyi Yu, Hanying Hu |
Coverage and Energy Efficient Information Gathering Protocol in Wireless Sensor Networks. |
PDCAT |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Christophe Gaston, Dirk Seifert |
Evaluating Coverage Based Testing. |
Model-Based Testing of Reactive Systems |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Zack J. Butler, Daniela Rus |
Controlling Mobile Sensors for Monitoring Events with Coverage Constraints. |
ICRA |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Ioannis M. Rekleitis, Vincent Lee-Shue, Ai Peng New, Howie Choset |
Limited Communication, Multi-robot Team Based Coverage. |
ICRA |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Evangelos Kranakis, Danny Krizanc, Jorge Urrutia |
Coverage and Connectivity in Networks with Directional Sensors. |
Euro-Par |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Yan Chen, Xuan Du, Xuegong Zhou, Chenglian Peng |
An Automatic Coverage Analysis for SystemC Using UML and Aspect-Oriented Technology. |
CSCWD (Selected papers) |
2004 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 18073 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|