The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASIP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-1997 (15) 1998-2000 (24) 2001-2002 (20) 2003-2004 (36) 2005 (29) 2006 (37) 2007 (32) 2008 (31) 2009 (23) 2010-2011 (31) 2012 (18) 2013 (18) 2014 (17) 2015 (16) 2016-2017 (20) 2018-2021 (15) 2022-2024 (9)
Publication types (Num. hits)
article(91) book(1) incollection(2) inproceedings(293) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 322 occurrences of 165 keywords

Results
Found 391 publication records. Showing 391 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Aleksandar Beric, Ramanathan Sethuraman, Harm Peters, Jef L. van Meerbergen, Gerard de Haan, Carlos A. Alba Pinto A 27 mW 1.1 mm2 Motion Estimator for Picture-Rate Up-converter. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Chandra Shekhar 0001, Raj Singh, A. S. Mandal, S. C. Bose, Ravi Saini, Pramod Tanwar Application Specific Instruction Set Processors: Redefining Hardware-Software Boundary. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Zhijie Shi, Xiao Yang 0001, Ruby B. Lee Arbitrary Bit Permutations in One or Two Cycles. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Jeonghun Cho, Yunheung Paek, David B. Whalley Efficient register and memory assignment for non-orthogonal architectures via graph coloring and MST algorithms. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dual memory, memory assignment, non-orthogonal architecture, compiler, graph coloring, maximum spanning tree
25Lars Wehmeyer, Manoj Kumar Jain, Stefan Steinke, Peter Marwedel, M. Balakrishnan Analysis of the influence of register file size on energyconsumption, code size, and execution time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Bruce R. Childers, Jack W. Davidson Custom Wide Counterflow Pipelines for High-Performance Embedded Applications. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Bruce R. Childers, Jack W. Davidson An Infrastructure for Designing Custom Embedded Counter-flow Pipelines. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Forest Fisher, Steve A. Chien, Edisanter Lo, Ronald Greeley SAR Image Processing Using Artificial Intelligence Planning. Search on Bibsonomy ICIAP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Yaron Yeger, Onno J. Boxma, Jacques Resing, Maria Vlasiou ASIP tandem queues with consumption. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Wei Chen 0100, Dake Liu Conflict-Free Parallel Data Access Technology for Matrix Calculation in Memory System of ASIP of 5G/6G Macro Base Stations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
20Cangyuan Li, Ying Wang 0001, Huawei Li 0001, Yinhe Han 0001 APPEND: Rethinking ASIP Synthesis in the Era of AI. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Ahmed M. Hamed, M. Watheq El-Kharashi, Ashraf Salem, Mona Safar A Multicycle Pipelined GCM-Based AUTOSAR Communication ASIP. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Muxuan Gao, He Chen, Dake Liu An ASIP for Neural Network Inference on Embedded Devices with 99% PE Utilization and 100% Memory Hidden under Low Silicon Cost. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Yavar Safaei Mehrabani, Roghayeh Ataie, Mohammad Hossein Shafiabadi, Abolghasem Ghasempour Design and implementation of an ASIP for SHA-3 hash algorithm. Search on Bibsonomy Int. J. Inf. Comput. Secur. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Vasileios Leon, George Lentaris, Dimitrios Soudris, Simon Vellas, Mathieu Bernou Towards Employing FPGA and ASIP Acceleration to Enable Onboard AI/ML in Space Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Moussa Traore, J. M. Pierre Langlois, Jean-Pierre David ASIP Accelerator for LUT-based Neural Networks Inference. Search on Bibsonomy NEWCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Alexander Antonov Superscalar Out-of-Order RISC-V ASIP Based on Programmable Hardware Generator with Decoupled Computations and Flow Control. Search on Bibsonomy MECO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Mood Venkanna, Rameshwar Rao 0001, P. Chandra Sekhar Design of filter for image de-noising using discrete wavelet transform for ASIP. Search on Bibsonomy Int. J. Comput. Vis. Robotics The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Shahriar Shahabuddin, Aarne Mämmelä, Markku J. Juntti, Olli Silvén ASIP for 5G and Beyond: Opportunities and Vision. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Onno J. Boxma, Offer Kella, Uri Yechiali Workload distributions in ASIP queueing networks. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Yunxiang Tang, Biao Long, Dake Liu An ASIP design for low loss compression of front-haul data in 5G base stations. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Seungseok Nam, Emil Matús, Gerhard P. Fettweis Minimized Region of Path-search Algorithm for ASIP-based Connection Allocator in NoCs. Search on Bibsonomy ISVLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Joost Hoozemans, Kati Tervo, Pekka Jääskeläinen, Zaid Al-Ars Energy Efficient Multistandard Decompressor ASIP. Search on Bibsonomy ICCDE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Seungseok Nam, Emil Matús, Sadia Moriam, Gerhard P. Fettweis Path-Spreading Search Algorithm and ASIP Approach for Connection Allocation in TDM-NoCs. Search on Bibsonomy ISVLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Przemyslaw Mazurek BOSON - Application-Specific Instruction Set Processor (ASIP) for Educational Purposes. Search on Bibsonomy ICARCV The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Seungseok Nam, Emil Matús, Gerhard P. Fettweis An ASIP Approach to Path Allocation in TDM NoCs using Adaptive Search Region. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Manil Dev Gomony, Mihaela Jivanescu, Nikolas Olaziregi Towards ASIP Architecture-Driven Algorithm Development. Search on Bibsonomy IESS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Steffen Malkowsky, Hemanth Prabhu, Liang Liu 0002, Ove Edfors, Viktor Öwall A Programmable 16-Lane SIMD ASIP for Massive MIMO. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Wei-pei Huang, Ray C. C. Cheung, Hong Yan 0001 An Efficient Application Specific Instruction Set Processor (ASIP) for Tensor Computation. Search on Bibsonomy ASAP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Juan Fernando Eusse Giraldo ASIP algorithmic/architectural co-exploration based on high level performance estimation. Search on Bibsonomy 2019   RDF
20Jinli Rao, Tianyong Ao, Shu Xu, Kui Dai, Xuecheng Zou Design Exploration of SHA-3 ASIP for IoT on a 32-bit RISC-V Processor. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Shanlin Xiao, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda HOG-Based Object Detection Processor Design Using ASIP Methodology. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Atif Raza Jafri, Amer Baghdadi, Muhammad Najam-ul-Islam, Michel Jézéquel Heterogeneous Multi-ASIP and NoC-Based Architecture for Adaptive Parallel TBICM-ID-SSD. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Lukas Gerlach 0001, Guillermo Payá Vayá, Shuang Liu, Moritz Weißbrich, Holger Blume, Daniel Marquardt, Simon Doclo Analyzing the trade-off between power consumption and beamforming algorithm performance using a hearing aid ASIP. Search on Bibsonomy SAMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Shahriar Shahabuddin, Olli Silvén, Markku J. Juntti ASIP design for multiuser MIMO broadcast precoding. Search on Bibsonomy EuCNC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Mood Venkanna, Rameshwar Rao 0001, P. Chandra Sekhar Application of ASIP in Embedded Design with Optimized Clock Management. Search on Bibsonomy ICITKM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Naotaka Yoshida, Leonardo Lanante, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi A hybrid HW/SW 802.11ac/ax system design platform with ASIP implementation. Search on Bibsonomy ISPACS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Aydin Aysu, Ege Gulcan, Daisuke Moriyama, Patrick Schaumont Compact and low-power ASIP design for lightweight PUF-based authentication protocols. Search on Bibsonomy IET Inf. Secur. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Vianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Michael Hübner 0001, Jean-Philippe Diguet A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Zhenqi Wei, Peilin Liu, Rongdi Sun, Jun Dai, Zunquan Zhou, Xiangming Geng, Rendong Ying HAVA: Heterogeneous Multicore ASIP for Multichannel Low-Bit-Rate Vocoder Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Gianluca Barbon, Michael Margolis, Filippo Palumbo, Franco Raimondi, Nick Weldin Taking Arduino to the Internet of Things: The ASIP programming model. Search on Bibsonomy Comput. Commun. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Onno J. Boxma, Offer Kella, Uri Yechiali An ASIP model with general gate opening intervals. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Paolo Meloni, Francesca Palumbo, Claudio Rubattu, Giuseppe Tuveri, Danilo Pani, Luigi Raffo MPSoCs for real-time neural signal decoding: A low-power ASIP-based implementation. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Nico Mentzer, Guillermo Payá Vayá, Holger Blume Analyzing the Performance-Hardware Trade-off of an ASIP-based SIFT Feature Extraction. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Ubaid Ahmad, Min Li 0001, Amir Amin, Meng Li 0012, Liesbet Van der Perre, Rudy Lauwereins, Sofie Pollin An Energy-Efficient Reconfigurable ASIP Supporting Multi-mode MIMO Detection. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Juan Fernando Eusse, Francisco Fernandez, Rainer Leupers, Gerd Ascheid Concurrent memory subsystem and application optimization for ASIP design. Search on Bibsonomy SAMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Dunia Jamma, Omar Ahmed, Shawki Areibi, Gary Gréwal, Nicholas Molloy Design exploration of ASIP architectures for the K-Nearest Neighbor machine-learning algorithm. Search on Bibsonomy ICM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Yao Qin, Hua Wang, Zhiping Jia, Hui Xia A flexible and scalable implementation of elliptic curve cryptography over GF(p) based on ASIP. Search on Bibsonomy IPCCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Shanlin Xiao, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda An efficient embedded processor for object detection using ASIP methodology. Search on Bibsonomy ASAP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Andreas Karlsson Design of Energy-Efficient High-Performance ASIP-DSP Platforms. Search on Bibsonomy 2016   RDF
20Juan Fernando Eusse Giraldo, Christopher Williams 0004, Rainer Leupers CoEx: A Novel Profiling-Based Algorithm/Architecture Co-Exploration for ASIP Design. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Laura Micconi, Jan Madsen, Paul Pop System-level synthesis of multi-ASIP platforms using an uncertainty model. Search on Bibsonomy Integr. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Xiaolin Chen, Andreas Minwegen, Bilal Syed Hussain, Anupam Chattopadhyay, Gerd Ascheid, Rainer Leupers Flexible, Efficient Multimode MIMO Detection by Using Reconfigurable ASIP. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Nuno Neves 0002, Nuno Sebastião, David Martins de Matos, Pedro Tomás, Paulo F. Flores, Nuno Roma Multicore SIMD ASIP for Next-Generation Sequencing and Alignment Biochip Platforms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Yao Xin, Will X. Y. Li, Zhaorui Zhang, Ray C. C. Cheung, Dong Song, Theodore W. Berger An Application Specific Instruction Set Processor (ASIP) for Adaptive Filters in Neural Prosthetics. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Roberto Ammendola, Andrea Biagioni, Ottorino Frezza, Werner Geurts, Gert Goossens, Francesca Lo Cicero, Alessandro Lonardo, Pier Stanislao Paolucci, Davide Rossetti, Francesco Simula, Laura Tosoratto, Piero Vicini ASIP acceleration for virtual-to-physical address translation on RDMA-enabled FPGA-based network interfaces. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20 An Application Specific Instruction Set Processor (ASIP) for the Niederreiter Cryptosystem. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2015 DBLP  BibTeX  RDF
20Roberto Urban, Mario Schölzel, Heinrich Theodor Vierhaus, Enrico Altmann, Horst Seelig Compiler-Centred Microprocessor Design (CoMet) - From C-Code to a VHDL Model of an ASIP. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Fang Xiao, Yiqing Zhou 0001, Shan Huang, Jiangnan Lin, Lin Liu An ASIP based physical layer virtualization method of centralized radio access network. Search on Bibsonomy IPCCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Mohammed A. El-Motaz, M. Wagih Ismail, Mohsen Raafat, Ali S. Faried, Mohammed A. Raghieb, Nassr M. Ismail, Sherif A. Hafez, Ahmed H. El-Kady, Esmaail A. El-Sayed, Mohamed A. Sharaf, Ibrahim Shazly, Wael E. Abd El-Kawi, Chadi M. Mohamed, Mohamed N. Elhidery, Karim Mohammed, Omar A. Nasr A highly scalable vector oriented ASIP-based multi-standard digital receiver. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Peter Reichel, Jens Döge, Nico Peter, Christoph Hoppe, Andreas Reichel An ASIP-based control system for Vision Chips with highly parallel signal processing. Search on Bibsonomy ISIE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Tomoki Sugiura, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai A low-energy ASIP with flexible exponential Golomb codec for lossless data compression toward artificial vision systems. Search on Bibsonomy BioCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Yuji Yokota, Shingo Yoshizawa, Hiroshi Ochi ASIP implementation of a low complexity iterative BD precoder for MU-MIMO system. Search on Bibsonomy ISCIT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Mirco Bordoni, Michele Bottone, Bob Fields, Nikos Gorogiannis, Michael Margolis, Giuseppe Primiero, Franco Raimondi Towards Cyber-physical Systems as Services: The ASIP Protocol. Search on Bibsonomy SEsCPS@ICSE The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Anmol Gupta, Ashutosh Pal Accelerating SVM on Ultra Low Power ASIP for High Throughput Streaming Applications. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Laura Micconi A Probabilistic Approach for the System-Level Design of Multi-ASIP Platforms. Search on Bibsonomy 2015   RDF
20Agus Bejo, Dongju Li, Tsuyoshi Isshiki, Hiroaki Kunieda Retargeting Derivative-ASIP with Assembly Converter Tool. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Roshan G. Ragel, Swarnalatha Radhakrishnan, Jude Angelo Ambrose Instruction-set Selection for Multi-application based ASIP Design: An Instruction-level Study. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
20Rajitha Navarathna, Swarnalatha Radhakrishnan, Roshan G. Ragel Loop Unrolling in Multi-pipeline ASIP Design. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
20Yi Wang 0016, Yajun Ha A Performance and Area Efficient ASIP for Higher-Order DPA-Resistant AES. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Roel Jordans, Erkan Diken, Lech Józwiak, Henk Corporaal BuildMaster: Efficient ASIP architecture exploration through compilation and simulation result caching. Search on Bibsonomy DDECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Nico Mentzer, Guillermo Payá Vayá, Holger Blume, Nora von Egloffstein, Werner Ritter Instruction-set extension for an ASIP-based SIFT feature extraction. Search on Bibsonomy ICSAMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Juan Fernando Eusse Giraldo, Christopher Williams 0004, Luis Gabriel Murillo, Rainer Leupers, Gerd Ascheid Pre-architectural performance estimation for ASIP design based on abstract processor models. Search on Bibsonomy ICSAMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Zdenek Prikryl Fast Simulation of Pipeline in ASIP Simulators. Search on Bibsonomy MTV The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Juan Fernando Eusse, Rainer Leupers, Gerd Ascheid, Patrick Sudowe, Bastian Leibe, Tamon Sadasue A flexible ASIP architecture for connected components labeling in embedded vision applications. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Nuno Sebastião, Paulo F. Flores, Nuno Roma Optimized ASIP architecture for compressed BWT-indexed search in bioinformatics applications. Search on Bibsonomy HPCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Souhail Haggui, Imen Debbabi, Fethi Tlili Enhanced implementation of morphological operators on a synthesizable ASIP. Search on Bibsonomy ICMCS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Ting Chen, Hengzhu Liu, Botao Zhang A reconfigurable ASIP for high-throughput and flexible FFT processing in SDR environment. Search on Bibsonomy ICDIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Tomoki Sugiura, Shoko Nakatsuka, Jaehoon Yu, Yoshinori Takeuchi, Masaharu Imai An efficient data compression method for artificial vision systems and its low energy implementation using ASIP technology. Search on Bibsonomy BioCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Zhenzhi Wu, Dake Liu Flexible multistandard FEC processor design with ASIP methodology. Search on Bibsonomy ASAP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Ting Chen, Xiaowei Pan, Hengzhu Liu, Tiebin Wu Rapid prototype and implementation of a high-throughput and flexible FFT ASIP based on LISA 2.0. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Mahesh Nanjundappa, Sandeep K. Shukla Compiling polychronous programs into conditional partial orders for ASIP synthesis. Search on Bibsonomy FormaliSE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Hsuanchun Liao, Mochamad Asri, Tsuyoshi Isshiki, Dongju Li, Hiroaki Kunieda A Design of High Performance Parallel Architecture and Communication for Multi-ASIP Based Image Processing Engine. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Lech Józwiak HW/SW architecture co-synthesis of ASIP-based MPSoCs for highly- demanding applications. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Yuankai Chen, Hai Zhou 0001 Resource-constrained high-level datapath optimization in ASIP design. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Matthias Hartmann, Praveen Raghavan, Liesbet Van der Perre, Prashant Agrawal, Wim Dehaene Memristor-Based (ReRAM) Data Memory Architecture in ASIP Design. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Vianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Michael Hübner 0001, Jean-Philippe Diguet Stopping-Free Dynamic Configuration of a Multi-ASIP Turbo Decoder. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Raymond Frijns, A. L. J. Kamp, Sander Stuijk, Jeroen Voeten, M. Bontekoe, K. J. A. Gemei, Henk Corporaal Dataflow-Based Multi-ASIP Platform Approach for Digital Control Applications. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Vianney Lapotre, Michael Hübner 0001, Guy Gogniat, Purushotham Murugappa, Amer Baghdadi, Jean-Philippe Diguet An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Juan Fernando Eusse, Christopher Williams 0004, Rainer Leupers CoEx: A novel profiling-based algorithm/architecture co-exploration for ASIP design. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Laura Micconi, Deepak Gangadharan, Paul Pop, Jan Madsen Multi-ASIP platform synthesis for real-time applications. Search on Bibsonomy SIES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Deepak Gangadharan, Laura Micconi, Paul Pop, Jan Madsen Multi-ASIP platform synthesis for Event-Triggered applications with cost/performance trade-offs. Search on Bibsonomy RTCSA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Tuo Li 0001, Muhammad Shafique 0001, Semeen Rehman, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran DHASER: dynamic heterogeneous adaptation for soft-error resiliency in ASIP-based multi-core systems. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Shoko Nakatsuka, Takashi Hamabe, Yoshinori Takeuchi, Masaharu Imai An efficient lossless data compression method based on exponential-Golomb coding for biomedical information and its implementation using ASIP technology. Search on Bibsonomy BioCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Laura Micconi, Rosilde Corvino, Deepak Gangadharan, Jan Madsen, Paul Pop, Lech Józwiak Hierarchical DSE for multi-ASIP platforms. Search on Bibsonomy MECO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Vianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noel Bazin, Michael Hübner 0001 Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Nuno Neves 0002, Nuno Sebastião, Andre Patricio, David Martins de Matos, Pedro Tomás, Paulo F. Flores, Nuno Roma BioBlaze: Multi-core SIMD ASIP for DNA sequence alignment. Search on Bibsonomy ASAP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Peter Figuli, Carsten Tradowsky, Nadine Gaertner, Jürgen Becker 0001 ViSA: A highly efficient slot architecture enabling multi-objective ASIP cores. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Tuo Li 0001 Cost-Efficient Soft-Error Resiliency for ASIP-based Embedded Systems. Search on Bibsonomy 2013   RDF
Displaying result #101 - #200 of 391 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license