The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ICS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1987 (77) 1988 (75) 1989 (57) 1990 (50) 1991 (63) 1992 (60) 1993 (52) 1994 (65) 1995 (77) 1996 (80) 1997 (76) 1998 (103) 1999 (95) 2000 (69) 2001 (98) 2002 (95) 2003 (109) 2004 (134) 2005 (140) 2006 (144) 2007 (129) 2008 (147) 2009 (156) 2010 (141) 2011 (173) 2012 (144) 2013 (180) 2014 (430) 2015 (162) 2016 (152) 2017 (118) 2018 (212) 2019 (128) 2020 (247) 2021 (124) 2022 (174) 2023 (130) 2024 (16)
Publication types (Num. hits)
article(720) book(2) data(3) incollection(17) inproceedings(3869) phdthesis(18) proceedings(53)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2078 occurrences of 1155 keywords

Results
Found 4682 publication records. Showing 4682 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Qiang Yan 0002, Huaying Shu, Xiaoyan Huang, Xicheng Zhang Complex network demonstration: Topology of instant communication systems. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Cheng-Kang Chu, Wen-Guey Tzeng Identity-Committable Signatures and Their Extension to Group-Oriented Ring Signatures. Search on Bibsonomy ACISP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF anonymous signatures, group signatures, ring signatures
34Peter Breun, Moritz Grosse-Wentrup, Wolfgang Utschick, Martin Buss Robust MEG Source Localization of Event Related Potentials: Identifying Relevant Sources by Non-Gaussianity. Search on Bibsonomy DAGM-Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Nicolas Krommenacker, Vincent Lecuire Building industrial communication systems based on IEEE 802.11g wireless technology. Search on Bibsonomy ETFA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Loreto Bravo, Leopoldo E. Bertossi Consistent query answering under inclusion dependencies. Search on Bibsonomy CASCON The full citation details ... 2004 DBLP  BibTeX  RDF
34Andrea Calì Reasoning in Data Integration Systems: Why LAV and GAV Are Siblings. Search on Bibsonomy ISMIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Masaki Hashizume, Masahiro Ichimiya, Hiroyuki Yotsuyanagi, Takeomi Tamesada CMOS Open Defect Detection Based on Supply Current in Time-Variable Electric Field and Supply Voltage Application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for Combinational Cluster Interconnect Testing at Board Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster testing, built-in self-test, BIST, boundary scan, interconnect testing
34D. Janaki Ram, M. Sreekanth Reusable integrated components of inter-related patterns for software development. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reusable integrated components, inter-related patterns, problem-solving experience, recurring problems, analysis phase, design phase, coding phase, pattern mapping, representation mechanism, URA model, unified artifact representation, object-oriented programming, design patterns, software reusability, object-oriented methods, subroutines, software project, software development life-cycle, testing phase
34Alan W. Righter, Charles F. Hawkins, Jerry M. Soden, Peter C. Maxwell CMOS IC reliability indicators and burn-in economics. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Bapiraju Vinnakota, André Ivanov Biomedical ICs: What is Different about Testing those ICs? Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Krishna Saraswat, Shukri J. Souri, Kaustav Banerjee, Pawan Kapur Performance analysis and technology of 3-D ICs. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, interconnect, circuits, ICs, 3-D
33Vasilis F. Pavlidis, Giovanni De Micheli Power distribution paths in 3-D ICS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power distribution network, 3-D ICS, 3-D integration, through silicon vias
33Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
33Gabriella Trucco, Giorgio Boselli, Valentino Liberali An approach to computer simulation of bonding and package crosstalk in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
33Giorgio Biagetti, Simone Orcioni, L. Signoracci, Claudio Turchetti, Paolo Crippa, Michele Alessandrini SiSMA: a statistical simulator for mismatch analysis of MOS ICs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MNA, MOS ICs, device mismatch, non-Montecarlo analysis, stochastic simulation
33Alex Orailoglu Graceful Degradation in Synthesis of VLSI ICs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF permanent fault resilience, high level synthesis of fault-tolerant ICs, Safety Critical Systems, graceful degradation, Fault-tolerant microarchitectures
30Xuexin Liu, Hao Yu 0001, Sheldon X.-D. Tan A robust periodic arnoldi shooting algorithm for efficient analysis of large-scale RF/MM ICs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF periodic steady-state analysis, shooting newton algorithm, Krylov subspace
30Hao Yu 0001, Joanna Ho, Lei He 0001 Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thermal and power integrity, parametric 3D-IC design, macromodeling
30Dae Hyun Kim 0004, Saibal Mukhopadhyay, Sung Kyu Lim Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wirelength distribution, rent's rule, 3d ic, tsv, interconnect prediction, through silicon via
30Chongyang Shi, Zhendong Niu Combining ICS semantic factor into concept similarity evaluating based on RFCA. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF information content similarity, rough set, concept lattice, formal context
30Michael B. Healy, Mario Vittes, Mongkol Ekpanyapong, Chinnakrishnan S. Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee, Gabriel H. Loh Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Shubhankar Basu, Ranga Vemuri Process Variation and NBTI Tolerant Standard Cells to Improve Parametric Yield and Lifetime of ICs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Sylvie Renaud, Jean Tomas, Yannick Bornat, Adel Daouzli, Sylvain Saïghi Neuromimetic ICs with analog cores: an alternative for simulating spiking neural networks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Tianpei Zhang, Yong Zhan, Sachin S. Sapatnekar Temperature-aware routing in 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Christianto C. Liu, Jeng-Huei Chen, Rajit Manohar, Sandip Tiwari Mapping system-on-chip designs from 2-D to 3-D ICs. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Nick Kanopoulos Design Methodology for Rapid Development of SoC ICs Based on an Innovative System Architecture with Emphasis to Timing Closure and Power Consumption Optimization. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Thorsten Baumheinrich, Ulrich Langmann Design of high speed bipolar Si/SiGe ICs for optical wide band communications. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Y. Xing Defect-oriented testing of mixed-signal ICs: some industrial experience. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Toshinobu Ono, Kazuo Wakui, Hitoshi Hikima, Yoshiyuki Nakamura, Masaaki Yoshida Integrated and Automated Design-for-Testability Implementation for Cell-Based ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF core test, design-for-testability, BIST, scan, boundary scan, test bus
30Giri Devarayanadurg, Mani Soma Analytical fault modeling and static test generation for analog ICs. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Wojciech Maly, Marek J. Patyra Design of ICs applying built-in current testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF BIC-testing, Built-in testing, current testing
28Ruchir Puri Will 22nm be our catch 22!: design and cad challenges. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 22nm cmos, design productivity, vlsi cad challenges, vlsi design challenges, vlsi physical design, 3d ics, automated synthesis
28Maneesha Dalmia, André Ivanov, Sassan Tabatabaei Power supply current monitoring techniques for testing PLLs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF power supply current monitoring, PLL testing, digital IC, VCO testing, analogue circuit testing, fault detection, phase locked loops, phase-locked loops, current testing, nonlinear circuits, mixed-signal ICs
26Kyle A. Gallivan, Efstratios Gallopoulos, Dimitrios S. Nikolopoulos, Ramón Beivide (eds.) Proceedings of the 37th International Conference on Supercomputing, ICS 2023, Orlando, FL, USA, June 21-23, 2023 Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Han D. Tran, Siddharth Saurav, P. Sadayappan, Sandip Mazumder, Hari Sundar Scalable parallelization for the solution of phonon Boltzmann Transport Equation. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26RuQing G. Xu, Field G. Van Zee, Robert A. van de Geijn Towards a Unified Implementation of GEMM in BLIS. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Pu Pang, Yaoxuan Li, Bo Liu, Quan Chen 0002, Zhou Yu 0003, Zhibin Yu 0001, Deze Zeng, Jingwen Leng, Jieru Zhao, Minyi Guo PAC: Preference-Aware Co-location Scheduling on Heterogeneous NUMA Architectures To Improve Resource Utilization. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Jou-An Chen, Hsin-Hsuan Sung, Xipeng Shen, Sutanay Choudhury, Ang Li 0006 BitGNN: Unleashing the Performance Potential of Binary Graph Neural Networks on GPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Minh Pham, Yicheng Tu, Xiaoyi Lv Accelerating BWA-MEM Read Mapping on GPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Xinbiao Gan, Guang Wu, Ruigeng Zeng, Jiaqi Si, Ji Liu 0003, Daxiang Dong, Chunye Gong, Cong Liu, Tiejun Li FT-topo: Architecture-Driven Folded-Triangle Partitioning for Communication-efficient Graph Processing. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Kelun Lei, Xin You, Hailong Yang, Zhongzhi Luan, Depei Qian BiRFIA: Selective Binary Rewriting for Function Interception on ARM. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Shaofeng Yang, Xiandong Liu, Yunting Wang, Xin He, Guangming Tan Fast All-Pairs Shortest Paths Algorithm in Large Sparse Graph. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Chengming Zhang 0006, Shaden Smith, Baixi Sun, Jiannan Tian, Jonathan Soifer, Xiaodong Yu 0001, Shuaiwen Leon Song, Yuxiong He, Dingwen Tao HEAT: A Highly Efficient and Affordable Training System for Collaborative Filtering Based Recommendation on CPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Lingqi Zhang 0001, Mohamed Wahib, Peng Chen 0035, Jintao Meng, Xiao Wang 0004, Toshio Endo, Satoshi Matsuoka Revisiting Temporal Blocking Stencil Optimizations. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Srinivas Eswar, Benjamin Cobb, Koby Hayashi, Ramakrishnan Kannan, Grey Ballard, Richard W. Vuduc, Haesun Park Distributed-Memory Parallel JointNMF. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Tun Chen, Haipeng Jia, Yunquan Zhang, Kun Li, Zhihao Li, Xiang Zhao, Jianyu Yao, Chendi Li OpenFFT: An Adaptive Tuning Framework for 3D FFT on ARM Multicore CPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Thomas Randall, Jaehoon Koo, Brice Videau, Michael Kruse, Xingfu Wu, Paul D. Hovland, Mary W. Hall, Rong Ge 0002, Prasanna Balaprakash Transfer-learning-based Autotuning using Gaussian Copula. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Vani Nagarajan, Durga Mandarapu, Milind Kulkarni 0001 RT-kNNS Unbound: Using RT Cores to Accelerate Unrestricted Neighbor Search. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Shixun Wu, Yujia Zhai, Jinyang Liu, Jiajun Huang, Zizhe Jian, Bryan M. Wong, Zizhong Chen Anatomy of High-Performance GEMM with Online Fault Tolerance on GPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Md. Arifuzzaman, Engin Arslan Use Only What You Need: Judicious Parallelism For File Transfers in High Performance Networks. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Siddharth Singh, Olatunji Ruwase, Ammar Ahmad Awan, Samyam Rajbhandari, Yuxiong He, Abhinav Bhatele A Hybrid Tensor-Expert-Data Parallelism Approach to Optimize Mixture-of-Experts Training. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Maulein Pathak, Yogish Sabharwal, Neelima Gupta Scalable algorithms for compact spanners on real world graphs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Milan Shah, Xiaodong Yu 0001, Sheng Di, Michela Becchi, Franck Cappello Lightweight Huffman Coding for Efficient GPU Compression. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Marcin Copik, Roman Böhringer, Alexandru Calotoiu, Torsten Hoefler FMI: Fast and Cheap Message Passing for Serverless Functions. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Grigory Chirkov, David Wentzlaff Seizing the Bandwidth Scaling of On-Package Interconnect in a Post-Moore's Law World. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Marcelo Orenes-Vera, Ilya Sharapov, Robert Schreiber, Mathias Jacquelin, Philippe Vandermersch, Sharan Chetlur Wafer-Scale Fast Fourier Transforms. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Jun Xiao 0009, Yaocheng Xiang, Xiaolin Wang 0001, Yingwei Luo, Andy D. Pimentel, Zhenlin Wang FLORIA: A Fast and Featherlight Approach for Predicting Cache Performance. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Amelie Chi Zhou, Zhoubin Ke, Jianming Lao DyVer: Dynamic Version Handling for Array Databases. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Pouya Haghi, William Krska, Cheng Tan 0002, Tong Geng, Po-Hao Chen, Connor Greenwood, Anqi Guo, Thomas M. Hines, Chunshu Wu, Ang Li 0006, Anthony Skjellum, Martin C. Herbordt FLASH: FPGA-Accelerated Smart Switches with GCN Case Study. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Ruiqi Wang, Dezun Dong, Fei Lei, Junchao Ma, Ke Wu, Kai Lu Roar: A Router Microarchitecture for In-network Allreduce. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Jinyang Liu, Sheng Di, Kai Zhao 0008, Xin Liang 0001, Zizhong Chen, Franck Cappello FAZ: A flexible auto-tuned modular error-bounded compression framework for scientific data. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Gagandeep Singh 0002, Alireza Khodamoradi, Kristof Denolf, Jack Lo, Juan Gómez-Luna, Joseph Melber, Andra Bisca, Henk Corporaal, Onur Mutlu SPARTA: Spatial Acceleration for Efficient and Scalable Horizontal Diffusion Weather Stencil Computation. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Yu Chen 0036, Lucca Skon, James R. McCombs, Zhenming Liu, Andreas Stathopoulos Parallel Software for Million-scale Exact Kernel Regression. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Ismayil Ismayilov, Javid Baydamirli, Dogan Sagbili, Mohamed Wahib, Didem Unat Multi-GPU Communication Schemes for Iterative Solvers: When CPUs are Not in Charge. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Lukas Trümper, Tal Ben-Nun, Philipp Schaad, Alexandru Calotoiu, Torsten Hoefler Performance Embeddings: A Similarity-Based Transfer Tuning Approach to Performance Optimization. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Guangnan Feng, Dezun Dong, Shizhen Zhao, Yutong Lu GRAP: Group-level Resource Allocation Policy for Reconfigurable Dragonfly Network in HPC. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Xiaojian Yang, Shengguo Li, Fan Yuan, Dezun Dong, Chun Huang, Zheng Wang 0001 Optimizing Multi-grid Computation and Parallelization on Multi-cores. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Neil Lindquist, Piotr Luszczek, Jack J. Dongarra Using Additive Modifications in LU Factorization Instead of Pivoting. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Nicholas Contini, Bharath Ramesh 0005, Kaushik Kandadi Suresh, Tu Tran, Benjamin Michalowicz, Mustafa Abduljabbar, Hari Subramoni, Dhabaleswar K. Panda 0001 Enabling Reconfigurable HPC through MPI-based Inter-FPGA Communication. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Lingqi Zhang 0001, Mohamed Wahib, Peng Chen 0035, Jintao Meng, Xiao Wang 0004, Toshio Endo, Satoshi Matsuoka PERKS: a Locality-Optimized Execution Model for Iterative Memory-bound GPU Applications. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Meghana Madhyastha, Robert Underwood, Randal C. Burns, Bogdan Nicolae DStore: A Lightweight Scalable Learning Model Repository with Fine-Grain Tensor-Level Access. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Xu Wen, Wanling Gao, Anzheng Li, Lei Wang 0004, Zihan Jiang, Jianfeng Zhan CMLCompiler: A Unified Compiler for Classical Machine Learning. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Anqi Guo, Yuchen Hao, Chunshu Wu, Pouya Haghi, Zhenyu Pan, Min Si, Dingwen Tao, Ang Li 0006, Martin C. Herbordt, Tong Geng Software-Hardware Co-design of Heterogeneous SmartNIC System for Recommendation Models Inference and Training. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Boyuan Zhang 0002, Jiannan Tian, Sheng Di, Xiaodong Yu 0001, Martin Swany, Dingwen Tao, Franck Cappello GPULZ: Optimizing LZSS Lossless Compression for Multi-byte Data on Modern GPUs. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Lawrence Rauchwerger, Kirk W. Cameron, Dimitrios S. Nikolopoulos, Dionisios N. Pnevmatikatos (eds.) ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28 - 30, 2022 Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Sun-Yuan Hsieh, Ling-Ju Hung, Ralf Klasing, Chia-Wei Lee, Sheng-Lung Peng (eds.) New Trends in Computer Technologies and Applications - 25th International Computer Symposium, ICS 2022, Taoyuan, Taiwan, December 15-17, 2022, Proceedings Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Hossein Golestani, Rathijit Sen, Vinson Young, Gagan Gupta Calipers: a criticality-aware framework for modeling processor performance. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Minh Pham, Hao Li 0071, Yongke Yuan, Chengcheng Mou, Kandethody Ramachandran, Zichen Xu 0001, Yicheng Tu Dynamic memory management in massively parallel systems: a case on GPUs. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Cheng Tan 0002, Thierry Tambe, Jeff Jun Zhang, Bo Fang, Tong Geng, Gu-Yeon Wei, David Brooks 0001, Antonino Tumeo, Ganesh Gopalakrishnan, Ang Li 0006 ASAP: automatic synthesis of area-efficient and precision-aware CGRAs. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Taha Shahroodi, Mahdi Zahedi, Abhairaj Singh, Stephan Wong, Said Hamdioui KrakenOnMem: a memristor-augmented HW/SW framework for taxonomic profiling. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Ardhi Wiratama Baskara Yudha, Jake Meyer, Shougang Yuan, Huiyang Zhou, Yan Solihin LITE: a low-cost practical inter-operable GPU TEE. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Zixuan Ma, Haojie Wang, Guanyu Feng, Chen Zhang, Lei Xie, Jiaao He, Shengqi Chen 0001, Jidong Zhai Efficiently emulating high-bitwidth computation with low-bitwidth hardware. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Chengming Zhang 0006, Sian Jin, Tong Geng, Jiannan Tian, Ang Li 0006, Dingwen Tao CEAZ: accelerating parallel I/O via hardware-algorithm co-designed adaptive lossy compression. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Heng Zhang 0005, Lingda Li, Hang Liu 0001, Donglin Zhuang, Rui Liu 0002, Chengying Huan, Shuang Song, Dingwen Tao, Yongchao Liu, Charles He, Yanjun Wu, Shuaiwen Leon Song Bring orders into uncertainty: enabling efficient uncertain graph processing via novel path sampling on multi-accelerator systems. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Oliver Rausch, Tal Ben-Nun, Nikoli Dryden, Andrei Ivanov, Shigang Li 0002, Torsten Hoefler A data-centric optimization framework for machine learning. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Wesley Smith, Aidan Goldfarb, Chen Ding 0001 Beyond time complexity: data movement complexity analysis for matrix multiplication. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Jonathon M. Anderson, Yumeng Liu, John M. Mellor-Crummey Preparing for performance analysis at exascale. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Keren Zhou 0001, Jonathon M. Anderson, Xiaozhu Meng, John M. Mellor-Crummey Low overhead and context sensitive profiling of CPU-accelerated applications. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Alexandru Calotoiu, Tal Ben-Nun, Grzegorz Kwasniewski, Johannes de Fine Licht, Timo Schneider, Philipp Schaad, Torsten Hoefler Lifting C semantics for dataflow optimization. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Jiangsu Du, Jiazhi Jiang, Yang You 0001, Dan Huang, Yutong Lu Handling heavy-tailed input of transformer inference on GPUs. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Arthur Francisco Lorenzon, Sandro Matheus V. N. Marques, Antoni C. Navarro, Vicenç Beltran 0001 Seamless optimization of the GEMM kernel for task-based programming models. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Kamalakkannan Kamalavasan, Gihan R. Mudalige, István Z. Reguly, Suhaib A. Fahmy High throughput multidimensional tridiagonal system solvers on FPGAs. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Mohsen Koohi Esfahani, Peter Kilpatrick, Hans Vandierendonck MASTIFF: structure-aware minimum spanning tree/forest. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Adhitha Dias, Kirshanthan Sundararajah, Charitha Saumya, Milind Kulkarni 0001 SparseLNR: accelerating sparse tensor computations using loop nest restructuring. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Sharjeel Khan, Bodhisatwa Chatterjee, Santosh Pande VICO: demand-driven verification for improving compiler optimizations. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Andreas Abel 0002, Jan Reineke 0001 uiCA: accurate throughput prediction of basic blocks on recent intel microarchitectures. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Khalid Ayedh Alharthi, Arshad Jhumka, Sheng Di, Franck Cappello Clairvoyant: a log-based transformer-decoder for failure prediction in large-scale systems. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Mohammad Almasri, Izzat El Hajj, Rakesh Nagi, Jinjun Xiong, Wen-Mei Hwu Parallel K-clique counting on GPUs. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Daeyoung Park, Heehoon Kim, Jinpyo Kim, Taehyun Kim 0002, Jaejin Lee SnuQS: scaling quantum circuit simulation using storage devices. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 4682 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license