The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Maurizio Bruno, Alberto Macii, Massimo Poncino A Statistic Power Model for Non-synthetic RTL Operators. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Marcelino B. Santos, José M. Fernandes, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Test Pattern Generation for High Quality Loosely Deterministic BIST. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Kee Sup Kim, Rathish Jayabharathi, Craig Carstens SpeedGrade: An RTL Path Delay Fault Simulator. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Nicola Nicolici, Bashir M. Al-Hashimi, Andrew D. Brown, Alan Christopher Williams BIST hardware synthesis for RTL data paths based on testcompatibility classes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Nazanin Mansouri, Ranga Vemuri Accounting for Various Register Allocation Schemes During Post-Synthesis Verification of RTL Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
39Wen-Jong Fang, Allen C.-H. Wu, Ti-Yen Yen A Real-Time RTL Engineering-Change Method Supporting On-Line Debugging for Logic-Emulation Applications. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
39Joon-Seo Yim, Yoon-Ho Hwang, Chang-Jae Park, Hoon Choi, Woo-Seung Yang, Hun-Seung Oh, In-Cheol Park, Chong-Min Kyung A C-Based RTL Design Verification Methodology for Complex Microprocessor. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF C
33Nicola Bombieri, Franco Fummi, Graziano Pravadelli, Andrea Fedeli Hybrid, Incremental Assertion-Based Verification for TLM Design Flows. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hybrid, RTL, design flow, TLM, assertion-based verification
33Tianyi Jiang, Xiaoyong Tang, Prithviraj Banerjee Macro-models for high level area and power estimation on FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF model, FPGA, high-level synthesis, power estimation, RTL, area estimation
32Alistair C. Bruce, M. M. Kamal Hashmi, Andrew Nightingale, Steve Beavis, Nizar Romdhane, Christopher K. Lennard Maintaining consistency between systemC and RTL system designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SPIRIT, transactor, verification, systemC, RTL, TLM, testbench, VIP
32Li Shen 0002 RTL Concurrent Fault Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF concurrent fault simulation, fault model, RTL, high-level testing, circuit modeling
32M. Balakrishnan, Heman Khanna Allocation of FIFO structures in RTL data paths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synthesis, RTL, ILP, FIFO, data path
32Lionel Bening A Two-State Methodology for RTL Logic Simulation. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 2-state, X-state, pessimism, simulation, optimism, random, initialization, RTL
32Michael J. Wirthlin, Misha Burich, Andrew Guyler, Brian Von Herzen High-level languages: the future or a passing fad? Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-level design languages, RTL design
32Kai-Hui Chang, Jeh-Yen Kang, Han-Wei Wang, Wei-Ting Tu, Yi-Jong Yeh, Sy-Yen Kuo Automatic Partitioner for Behavior Level Distributed Logic Simulation. Search on Bibsonomy FORTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RTL level partitioner, behavior level partitioner, distributed simulation, parallel simulation
32Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick The scaling challenge: can correct-by-construction design help? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clocked repeaters, correct-by-construction design, design fabrics, post-RTL design, routing, interconnect, placement, logic synthesis, scaling, technology mapping, repeaters
32Yu Huang 0005, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Omer Samman, Wu-Tung Cheng, Sudhakar M. Reddy Synthesis of Scan Chains for Netlist Descriptions at RT-Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan synthesis, design for testability (DFT), register transfer level (RTL)
32Kavel M. Büyüksahin, Farid N. Najm High-level power estimation with interconnect effects. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-level power estimation, interconnect capacitance estimation, register transfer level (RTL) power estimation
32Kazushige Higuchi, Kazuhiro Shirakawa Innovative System-level Design Environment Based on FORM for Transport Processing System. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Transport processing system, RTL generation, Specification editor, Formal specification, System design, System level simulation
32Cheng-Ta Hsieh, Qing Wu 0002, Chih-Shun Ding, Massoud Pedram Statistical sampling and regression analysis for RT-level power evaluation. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RT-Level power evaluation, power macro-modeling formulation, statistical analysis, random sampling, regression analysis, statistical sampling, regression estimator, RTL simulation
32Chih-Shun Ding, Cheng-Ta Hsieh, Qing Wu 0002, Massoud Pedram Stratified random sampling for power estimation. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RT-Level power evaluation, power macro-modeling formulation, statistical analysis, random sampling, regression analysis, statistical sampling, regression estimator, RTL simulation
32Jesse D. Bingham, John Erickson, Gaurav Singh, Flemming Andersen Industrial strength refinement checking. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Hiren D. Patel, Sandeep K. Shukla On Cosimulating Multiple Abstraction-Level System-Level Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Christian Haufe, Frank Rogin Ad-Hoc Translations to Close Verilog Semantics Gap. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Wei-Min Wu, Min-Chuan Chen USAT: An Integrated Platform for Satisfiability Solving and Model Checking. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Loganathan Lingappan, Niraj K. Jha Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Loganathan Lingappan, Niraj K. Jha Efficient Design for Testability Solution Based on Unsatisfiability for Register-Transfer Level Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Gaurav Singh 0006, Sandeep K. Shukla Model Checking Bluespec Specified Hardware Designs. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Wolfgang Ecker, Volkan Esen, Lars Schönberg, Thomas Steininger, Michael Velten, Michael Hull Interactive presentation: Impact of description language, abstraction layer, and value representation on simulation performance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Wolfgang Ecker, Volkan Esen, Thomas Steininger, Michael Velten, Michael Hull Interactive presentation: Implementation of a transaction level assertion framework in SystemC. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Lovleen Bhatia, Jayesh Gaur, Praveen Tiwari, Raj S. Mitra, Sunil H. Matange Leveraging Semi-Formal and Sequential Equivalence Techniques for Multimedia SOC Performance Validation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Namrata Shekhar, Priyank Kalla, Florian Enescu Equivalence verification of arithmetic datapaths with multiple word-length operands. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Ernst Martin Witte, Anupam Chattopadhyay, Oliver Schliebusch, David Kammler Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32K. Uday Bhaskar, M. Prasanth, G. Chandramouli, V. Kamakoti 0001 A Universal Random Test Generator for Functional Verification of Microprocessors and System-on-Chip. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Prabhat Mishra 0001, Nikil D. Dutt, Narayanan Krishnamurthy, Magdy S. Abadir A Top-Down Methodology for Microprocessor Validation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Hye-On Jang, Minsoo Kang, Myeong-jin Lee, Kwanyeob Chae, Kookpyo Lee, Kyuhyun Shim High-Level System Modeling and Architecture Exploration with SystemC on a Network SoC: S3C2510 Case Study. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha High-level test compaction techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32G. Surendra, S. K. Nandy 0001, Paul Sathya ReDeEm_RTL: A Software Tool for Customizing Soft Cells for Embedded Applications. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Toshiaki Tanaka, Tsutomu Kobayashi, Osamu Karatsu HARP: FORTRAN to silicon [compilation system]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
31Rajat Subhra Chakraborty, Swarup Bhunia RTL Hardware IP Protection Using Key-Based Control and Data Flow Obfuscation. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF resgister transfer level (RTL), Hardware Security, IP protection
31Ken-ichi Yamaguchi, Hiroki Wada, Toshimitsu Masuzawa, Hideo Fujiwara BIST Method Based on Concurrent Single-Control Testability of RTL Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF RTL data path, single-control testability, built-in self-test, design for testability, concurrent test, hierarchical test
31Joan Carletta, Christos A. Papachristou Testability analysis and insertion for RTL circuits based on pseudorandom BIST. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudorandom BIST, indirect feedback, preprocessing transformation, word-level correlation, modeling, logic testing, probability, built-in self test, built-in self-test, integrated circuit testing, Markov processes, automatic testing, Markov model, insertion, testability analysis, test point insertion, iterative technique, RTL circuits, register transfer level circuits
31Stanislaw Deniziak, Mariusz Wisniewski A symbolic RTL synthesis for LUT-based FPGAs. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara Fast false path identification based on functional unsensitizability using RTL information. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Mrinal Bose, Prashant Naphade, Jayanta Bhadra, Hillel Miller An abstraction mechanism to maximize stimulus portability across RTL, FPGA, software models and silicon of SoCs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Vinod Viswanath, Shobha Vasudevan, Jacob A. Abraham Dedicated Rewriting: Automatic Verification of Low Power Transformations in RTL. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Nicola Bombieri, Franco Fummi, Graziano Pravadelli Reuse and optimization of testbenches and properties in a TLM-to-RTL design flow. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TBV, Model checking, fault models, functional verification, TLM
31Jaroslav Skarvada, Zdenek Kotásek, Tomas Herrman Power Conscious RTL Test Scheduling. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Kypros Constantinides, Onur Mutlu, Todd M. Austin Online design bug detection: RTL analysis, flexible mechanisms, and evaluation. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Dan Zhu, Tun Li, Yang Guo 0003, Sikun Li 2D Decomposition Sequential Equivalence Checking of System Level and RTL Descriptions. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cutpoints, Program slicing, Sequential equivalence checking
31Shobha Vasudevan, Vinod Viswanath, Robert W. Sumners, Jacob A. Abraham Automatic Verification of Arithmetic Circuits in RTL Using Stepwise Refinement of Term Rewriting Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Register Transfer Level implementation, Verification, Hardware Description Languages, arithmetic logic unit
31Allan Crone, Gabriel Chidolue Functional Verification of Low Power Designs at RTL. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low power aware management, Corruption, UPF, Simulation, Retention, PCF
31Nicola Bombieri, Franco Fummi, Graziano Pravadelli Incremental ABV for functional validation of TL-to-RTL design refinement. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Sivaram Gopalakrishnan, Priyank Kalla, M. Brandon Meredith, Florian Enescu Finding linear building-blocks for RTL synthesis of polynomial datapaths with fixed-size bit-vectors. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Shobha Vasudevan, Jacob A. Abraham, Vinod Viswanath, Jiajin Tu Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Vyas Krishnan, Srinivas Katkoori Design Space Exploration of RTL Datapaths Using Rent Parameter based Stochastic Wirelength Estimation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Masahiro Fujita Equivalence checking between behavioral and RTL descriptions with virtual controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF formal verification, High-level synthesis, equivalence checking, behavior synthesis
31Masahiro Fujita Behavior-RTL Equivalence Checking Based on Data Transfer Analysis with Virtual Controllers and Datapaths. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Enrico Macii RTL power estimation and optimization. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Sherif G. Aly 0001, Ashraf M. Salem Observability-Based RTL Simulation using JAVA. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Paul Flugger RTL-Based Signal Statistics Calculation Facilitates Low Power Design Approaches. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Dong Xiang, Shan Gu, Hideo Fujiwara Non-Scan Design for Testability for Mixed RTL Circuits with Both Data Paths and Controller via Conflict Analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Liang Zhang 0012, Michael S. Hsiao, Indradeep Ghosh Automatic Design Validation Framework for HDL Descriptions via RTL ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Prabhat Mishra 0001, Arun Kejariwal, Nikil D. Dutt Rapid Exploration of Pipelined Processors through Automatic Generation of Synthesizable RTL Models. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Jiann-Chyi Rau, Yi-Yuan Chang, Chia-Hung Lin An Efficient Mechanism for Debugging RTL Description. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Monica Donno, Alessandro Ivaldi, Luca Benini, Enrico Macii Clock-tree power optimization based on RTL clock-gating. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clock-tree synthsis, low-power design
31Yu-Chin Hsu, Bassam Tabbara, Yirng-An Chen, Fur-Shing Tsai Advanced techniques for RTL debugging. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, visualization, verification, debug, reasoning
31Mehrdad Nourani, Christos A. Papachristou False path exclusion in delay analysis of RTL structures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Toshinori Hosokawa, Hiroshi Date, Michiaki Muraoka A Test Generation Method Using a Compacted Test Table and a Test Generation Method Using a Compacted Test Plan Table for RTL Data Path Circuits. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Srivaths Ravi 0001, Indradeep Ghosh, Vamsi Boppana, Niraj K. Jha Fault-diagnosis-based technique for establishing RTL and gate-levelcorrespondences. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Harry Foster Applied Boolean Equivalence Verification and RTL Static Sign-Off. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Peng-Cheng Kao, Chih-Kuang Hsieh, Allen C.-H. Wu An RTL design-space exploration method for high-level applications. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Srivaths Ravi 0001, Niraj K. Jha, Indradeep Ghosh, Vamsi Boppana A Technique for Identifying RTL and Gate-Level Correspondences. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Yiorgos Makris, Ismet Bayraktaroglu, Alex Orailoglu Invariance-Based On-Line Test for RTL Controller-Datapath Circuits. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Christos A. Papachristou, Yusuf Alzazeri A Method of Distributed Controller Design for RTL Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Arvind Srinivasan 0004, Gary D. Huber, David P. LaPotin Accurate area and delay estimation from RTL descriptions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Srivaths Ravi 0001, Indradeep Ghosh, Rabindra K. Roy, Sujit Dey Controller Resynthesis for Testability Enhancement of RTL Controller/Data Path Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF controller resynthesis, test synthesis, high-level testing
31Srivaths Ravi 0001, Indradeep Ghosh, Rabindra K. Roy, Sujit Dey Controller Resynthesis for Testability Enhancement of RTL Controller/Data path Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Respecification, Synthesis for Testability, Don't Cares, High Level Testing
31Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Min Xu, Fadi J. Kurdahi Layout-driven RTL binding techniques for high-level synthesis using accurate estimators. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGAs, high-level synthesis, floorplan, binding
31Min Xu, Fadi J. Kurdahi Layout-Driven RTL Binding Techniques for High-Level Synthesis. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout-driven register-transfer-level, binding techniques, chip level implementation, high level synthesis, high-level synthesis, design process
31Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design for testability technique for RTL circuits using control/data flow extraction. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Md. Imtiaz Rashid, Benjamin Carrion Schafer MIRROR: MaxImizing the Re-usability of RTL thrOugh RTL to C CompileR. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
30Shogo Semba, Hiroshi Saito RTL Conversion Method From Pipelined Synchronous RTL Models Into Asynchronous Ones. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Xingyu Meng, Shamik Kundu, Arun K. Kanuparthi, Kanad Basu RTL-ConTest: Concolic Testing on RTL for Detecting Security Vulnerabilities. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30 RTL-FSMx: Fast and Accurate Finite State Machine Extraction at the RTL for Security Applications. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2022 DBLP  BibTeX  RDF
30Neiel Leyva, Alireza Monemi, Enrique Vallejo 0001 SynFull-RTL: Evaluation Methodology for RTL NoC Designs. Search on Bibsonomy IEEE Des. Test The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Dian-Lun Lin, Haoxing Ren, Yanqing Zhang 0002, Brucek Khailany, Tsung-Wei Huang From RTL to CUDA: A GPU Acceleration Flow for RTL Simulation with Batch Stimulus. Search on Bibsonomy ICPP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Rasheed Kibria, M. Sazadur Rahman, Farimah Farahmandi, Mark M. Tehranipoor RTL-FSMx: Fast and Accurate Finite State Machine Extraction at the RTL for Security Applications. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
30Guillem López-Paradís, Adrià Armejach, Miquel Moretó gem5 + rtl: A Framework to Enable RTL Models Inside a Full-System Simulator. Search on Bibsonomy ICPP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30Shogo Semba, Hiroshi Saito Conversion from Synchronous RTL Models to Asynchronous RTL Models. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Tara Ghasempouri, Alessandro Danese, Graziano Pravadelli, Nicola Bombieri, Jaan Raik RTL Assertion Mining with Automated RTL-to-TLM Abstraction. Search on Bibsonomy FDL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
30Tobias Strauch Timing driven RTL-to-RTL partitioner for multi-FPGA systems. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Nicola Bombieri, Franco Fummi, Valerio Guarnieri FAST: An RTL Fault Simulation Framework based on RTL-to-TLM Abstraction. Search on Bibsonomy J. Electron. Test. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Nicola Bombieri, Franco Fummi, Valerio Guarnieri, Graziano Pravadelli, Sara Vinco Redesign and Verification of RTL IPs through RTL-to-TLM Abstraction and TLM Synthesis. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Nils Bulling, Berndt Farwer Expressing Properties of Resource-Bounded Systems: The Logics RTL* and RTL. Search on Bibsonomy CLIMA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license