The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase chip-multiprocessor (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1999 (24) 2000-2001 (17) 2002-2003 (29) 2004 (22) 2005 (34) 2006 (65) 2007 (71) 2008 (70) 2009 (50) 2010 (37) 2011 (16) 2012-2013 (20) 2014-2015 (24) 2016-2018 (16) 2019-2021 (8)
Publication types (Num. hits)
article(109) incollection(1) inproceedings(387) phdthesis(6)
Venues (Conferences, Journals, ...)
MICRO(21) ISCA(18) ASPLOS(14) Conf. Computing Frontiers(14) IEEE Trans. Computers(14) ICCD(12) PACT(12) DATE(11) IPDPS(11) HPCA(10) CODES+ISSS(9) ISLPED(8) SIGARCH Comput. Archit. News(7) CASES(6) IEEE Micro(6) IEEE PACT(6) More (+10 of total 192)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 526 occurrences of 280 keywords

Results
Found 503 publication records. Showing 503 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Shirish Bahirat, Sudeep Pasricha Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photonic interconnect, network-on-chip, chip multiprocessor
17Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem Dynamic cache clustering for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-uniform cache architecture (nuca), chip multiprocessor (cmp)
17Jason Cong, Mau-Chung Frank Chang, Glenn Reinman, Sai-Wang Tam Multiband RF-interconnect for reconfigurable network-on-chip communications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fdma, morfic, rf-interconnect, network-on-chip, reconfigurable, chip multiprocessor
17Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
17Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki Reactive NUCA: near-optimal block placement and replication in distributed caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF block migration, block placement, block replication, cache indexing, cache lookup, non-uniform cache access, nuca, r-nuca, reactive nuca, rotational interleaving, cache, replication, chip multiprocessor, cmp, placement, multicore, multi-core, migration, cache coherence, data replication, coherence, interleaving, data migration, data placement, shared cache, cache management, lookup, last-level cache, private cache
17Yefu Wang, Kai Ma, Xiaorui Wang Temperature-constrained power control for chip multiprocessors with online model estimation. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, chip multiprocessor, feedback control
17David K. Tam, Reza Azimi, Livio Soares, Michael Stumm RapidMRC: approximating L2 miss rate curves on commodity systems for online optimizations. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF miss rate curve, performance monitoring unit, shared cache management, chip multiprocessor, dynamic optimization, multicore processor, shared cache, cache management, cache partitioning, hardware performance counters, online optimization
17Antonio Flores, Juan L. Aragón, Manuel E. Acacio An energy consumption characterization of on-chip interconnection networks for tiled CMP architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power dissipation model, Microarchitectural level simulator, Heterogeneus on-chip interconnection network, Chip-multiprocessor, Parallel scientific applications
17Taeho Kgil, Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Krisztián Flautner, Trevor N. Mudge PicoServer: Using 3D stacking technology to build energy efficient servers. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking technology, Tier-1/2/3 server, Low power, chip multiprocessor, full-system simulation
17Noel Eisley, Li-Shiuan Peh, Li Shang Leveraging on-chip networks for data cache migration in chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network-driven computing, interconnection network, CMP, chip-multiprocessor, migration
17Tse-Yu Yeh Low-Power, High-Performance Architecture of the PWRficient Processor Family. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coherent memory system, low power, computer architecture, chip multiprocessor, high-performance, processor
17Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi On-Chip Optical Technology in Future Bus-Based Multicore Designs. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optical technology, snoopy bus, chip multiprocessor, on-chip interconnect
17Xudong Shi 0003, Feiqi Su, Jih-Kwon Peir, Ye Xia 0001, Zhen Yang Modeling and Single-Pass Simulation of CMP Cache Capacity and Accessibility. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple cache organization, single-pass simulation, on-chip storage space, on-chip cache capacity, single-pass stack simulation, global stack, shared stack, per-core private stack, single simulation pass, average memory access time, chip-multiprocessor, data replication, data accessibility, abstract model, reuse distances
17Seunghoon Kim, Robert P. Dick, Russ Joseph Power deregulation: eliminating off-chip voltage regulation circuitry from embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power regulation, multimedia, chip multiprocessor, battery
17Sebastian Herbert, Diana Marculescu Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip-multiprocessor, dynamic voltage/frequency scaling
17Michela Becchi, Mark A. Franklin, Patrick Crowley Performance/area efficiency in chip multiprocessors with micro-caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF networking workload, chip multiprocessor, cache hierarchies
17Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Speculative thread decomposition through empirical optimization. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation
17Kyle J. Nesbit, James Laudon, James E. Smith 0001 Virtual private caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, chip multiprocessor, soft real-time, shared caches, performance isolation
17Thomas Y. Yeh, Petros Faloutsos, Sanjay J. Patel, Glenn Reinman ParallAX: an architecture for real-time physics. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time physics, chip multiprocessor, physics based animation, stream processing, interactive entertainment, application specific processor
17Seung Eun Lee, Nader Bagherzadeh Increasing the throughput of an adaptive router in network-on-chip (NoC). Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive router, interconnection network, chip-multiprocessor, wormhole routing, network-on-chip (NoC)
17Noel Eisley, Vassos Soteriou, Li-Shiuan Peh High-level power analysis for multi-core chips. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, chip multiprocessor (CMP), multi-core, power analysis, system-on-a-chip (SoC)
17Juan del Cuvillo, Weirong Zhu, Guang R. Gao Landing openMP on cyclops-64: an efficient mapping of openMP to a many-core system-on-a-chip. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance evaluation, chip multiprocessor, openMP, system-on-a-chip, run-time system
17Franz Franchetti, Yevgen Voronenko, Markus Püschel Tools and techniques for performance - FFT program generation for shared memory: SMP and multicore. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chip multiprocessor, fast fourier transform, shared memory, multicore, automatic parallelization
17Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
17Thomas Y. Yeh, Glenn Reinman Fast and fair: data-stream quality of service. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NUCA, non-uniform access, per thread degradation, cluster, adaptive, cache, distributed, data-stream, partition, embedded, CMP, chip multiprocessor, migration, bandwidth, QOS, phase, memory wall, PDAS
17Jinson Koppanalil, Eric Rotenberg A Simple Mechanism for Detecting Ineffectual Instructions in Slipstream Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF slipstream, preexecution, chip multiprocessor, multithreading, Microarchitecture
17Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Min-cut program decomposition for thread-level speculation. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partitioning, chip multiprocessor, thread-level speculation, min-cut, program decomposition
17Rakesh Kumar 0002, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, Dean M. Tullsen Processor Power Reduction Via Single-ISA Heterogeneous Multi-Core Architectures. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF chip multiprocessor, low-power architecture
17Manohar K. Prabhu, Kunle Olukotun Using thread-level speculation to simplify manual parallelization. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF feedback-driven optimization, manual parallel programming, chip multiprocessor, multithreading, data speculation
17Mitsuhisa Sato OpenMP: Parallel Programming API for Shared Memory Multiprocessors and On-Chip Multiprocessors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
17Kunle Olukotun, Lance Hammond, Mark Willey Improving the performance of speculatively parallel applications on the Hydra CMP. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF feedback-driven optimization, performance evaluation, parallel programming, chip multiprocessor, multithreading, data speculation
17Keizo Saisho, Takeshi Sano, Keniti Iwata, Akira Fukuda The Architecture of OCMP and its Evaluation. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on chip multiprocessor, instruction level dispatch, fork-join type parallel processing, evaluation using simulation, shared cache, private cache
17Zheng Li, Jie Wu, Li Shang, Alan Rolf Mickelson, Manish Vachharajani, Dejan Filipovic, Wounjhang Park, Yihe Sun A high-performance low-power nanophotonic on-chip network. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF networks-on-chip, optical communication, silicon photonics
17Martin Schoeberl A Time-Triggered Network-on-Chip. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tianzhou Chen, Guobing Chen, Hongjun Dai, Qingsong Shi A function-based on-chip communication design in the heterogeneous multi-core architecture. Search on Bibsonomy MUE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Guangyu Chen, Feihui Li, Mahmut T. Kandemir, Ozcan Ozturk 0001, I. Demirkiran Compiler-Directed Management of Leakage Power in Software-Managed Memories. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ozcan Ozturk 0001, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy An Integer Linear Programming Based Approach to Simultaneous Memory Space Partitioning and Data Allocation for Chip Multiprocessors. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Feihui Li, Chrysostomos Nicopoulos, Thomas D. Richardson, Yuan Xie 0001, Narayanan Vijaykrishnan, Mahmut T. Kandemir Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mahmut T. Kandemir, Ozcan Ozturk 0001, Mustafa Karaköy Dynamic on-chip memory management for chip multiprocessors. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chip multiprocessors, optimizing compiler, memory bank
17Guangyu Chen, Mahmut T. Kandemir, Alok N. Choudhary, Ibrahim Kolcu Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Rakesh Pandey, Aryabartta Sahu Performance and Area Trade-Off of 3D-Stacked DRAM Based Chip Multiprocessor with Hybrid Interconnect. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Avishek Choudhury, Biplab K. Sikdar Modeling Remapping Based Fault Tolerance Techniques for Chip Multiprocessor Cache with Design Space Exploration. Search on Bibsonomy J. Electron. Test. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Khyamling Parane, Prabhu B. M. Prasad, Basavaraj Talawar P-NoC: Performance Evaluation and Design Space Exploration of NoCs for Chip Multiprocessor Architecture Using FPGA. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Kaiwei Zou, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 Learn-to-Scale: Parallelizing Deep Learning Inference on Chip Multiprocessor Architecture. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Andreas G. Andreou, Daniel R. Mendat Graphical Model Transformation Analysis for Cognitive Computing and Machine Learning on the SpiNNaker Chip Multiprocessor. Search on Bibsonomy DSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Tomas Figliolia, Andreas G. Andreou The Conical-Fishbone Clock Tree: A Clock-Distribution Network for a Heterogeneous Chip Multiprocessor AI Chiplet. Search on Bibsonomy DSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Rakesh Pandey, Aryabartta Sahu Access-Aware Self-Adaptive Data Mapping onto 3D-Stacked Hybrid DRAM-PCM Based Chip-Multiprocessor. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Avishek Choudhury, Biplab K. Sikdar Soft Error Resilience in Chip Multiprocessor Cache using a Markov Model Based Re-usability Predictor. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Christophe Bobda, Franck Yonga, Martin Gebser, Harold Ishebabi, Torsten Schaub High-level synthesis of on-chip multiprocessor architectures based on answer set programming. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Huseyin G. Arslan, Yu-Chu Tian, Fenglian Li, Chen Peng 0001, Minrui Fei Control-theoretic adaptive cache-fair scheduling of chip multiprocessor systems. Search on Bibsonomy Trans. Inst. Meas. Control The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Sirine Mnejja, Yassine Aydi, Mohamed Abid Exploring Hybrid NoC Architecture for Chip Multiprocessor. Search on Bibsonomy ICM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Avishek Choudhury, Biplab K. Sikdar Modeling & Analysis of Redundancy Based Fault Tolerance for Permanent Faults in Chip Multiprocessor Cache. Search on Bibsonomy VLSID The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Tórur Biskopstø Strøm, Wolfgang Puffitsch, Martin Schoeberl Hardware locks for a real-time Java chip multiprocessor. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Zhou Zhao, Ashok Srivastava, Lu Peng 0001, Shaoming Chen, Saraju P. Mohanty A novel switchable pin method for regulating power in chip-multiprocessor. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jianhua Li 0003, Minming Li, Chun Jason Xue, Yiming Ouyang, Fanfan Shen Thread Criticality Assisted Replication and Migration for Chip Multiprocessor Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Shirshendu Das, Hemangee K. Kapoor Latency Aware Block Replacement for L1 Caches in Chip Multiprocessor. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Rakesh Pandey, Aryabartta Sahu Efficient Mapping of Multi-threaded Applications onto 3D Stacked Chip-Multiprocessor. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Vassilios A. Chouliaras, David Stevens, Vincent M. Dwyer VThreads: A novel VLIW chip multiprocessor with hardware-assisted PThreads. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Pavlos Maniotis, Savvas Gitzenis, Leandros Tassiulas, Nikos Pleros An optically-enabled chip-multiprocessor architecture using a single-level shared optical cache memory. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Samuel J. Parker, Vassilios A. Chouliaras An OpenCL software compilation framework targeting an SoC-FPGA VLIW chip multiprocessor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16André Rocha, Cláudio Silva 0002, Rasmus Bo Sørensen, Jens Sparsø, Martin Schoeberl Avionics Applications on a Time-Predictable Chip-Multiprocessor. Search on Bibsonomy PDP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Aryabartta Sahu Thermal aware scheduling and mapping of multiphase applications onto chip multiprocessor. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16Houman Homayoun Heterogeneous chip multiprocessor architectures for big data applications. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yaobin Wang, Hong An, Zhiqin Liu, Ling Li, Jun Huang 0005 A Flexible Chip Multiprocessor Simulator Dedicated for Thread Level Speculation. Search on Bibsonomy Trustcom/BigDataSE/ISPA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Antoni Roca 0001, Carles Hernández 0001, Mario Lodde, José Flich Area-efficient snoopy-aware NoC design for high-performance chip multiprocessor systems. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Hao Shen, Qinru Qiu Chip Multiprocessor Performance Modeling for Contention Aware Task Migration and Frequency Scaling. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Tórur Biskopstø Strøm, Martin Schoeberl Hardware Locks with Priority Ceiling Emulation for a Java Chip-Multiprocessor. Search on Bibsonomy ISORC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xinke Chen, Guangfei Zhang, Huandong Wang, Ruiyang Wu, Peng Wu, Longbing Zhang MRP: mix real cores and pseudo cores for FPGA-based chip-multiprocessor simulation. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
16Eren Unlu, Christophe Moy Reconfigurable Traffic-Aware Radio Interconnect for a 2048-core Chip Multiprocessor. Search on Bibsonomy DSD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yanhua Li, Youhui Zhang, Weimin Zheng Position-aware thread-level speculative parallelization for large-scale chip-multiprocessor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Arseniy Vitkovskiy, Vassos Soteriou, Paul V. Gratz Clotho: Proactive wearout deceleration in Chip-Multiprocessor interconnects. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Zhou Zhao, Ashok Srivastava, Lu Peng 0001, Shaoming Chen, Saraju P. Mohanty Circuit Implementation of Switchable Pins in Chip Multiprocessor. Search on Bibsonomy iNIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Pavlos Maniotis, Savvas Gitzenis, Leandros Tassiulas, Nikos Pleros High-Speed Optical Cache Memory as Single-Level Shared Cache in Chip-Multiprocessor Architectures. Search on Bibsonomy SiPhotonics@HiPEAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Samuel J. Parker An automated OpenCL FPGA compilation framework targeting a configurable, VLIW chip multiprocessor. Search on Bibsonomy 2015   RDF
16Fang Lu, Huimin Cui, Lei Wang 0004, Lei Liu 0030, Chenggang Wu 0002, Xiaobing Feng 0002, Pen-Chung Yew Dynamic I/O-Aware Scheduling for Batch-Mode Applications on Chip Multiprocessor Systems of Cluster Platforms. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ju Hee Choi, Jong Wook Kwak, Chu Shik Jhon Write Avoidance Cache Coherence Protocol for Non-volatile Memory as Last-Level Cache in Chip-Multiprocessor. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Kai Ma, Xiaorui Wang, Yefu Wang DPPC: Dynamic Power Partitioning and Control for Improved Chip Multiprocessor Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Mohammad H. Foroozannejad, Matin Hashemi, Alireza Mahini, Bevan M. Baas, Soheil Ghiasi Time-Scalable Mapping for Circuit-Switched GALS Chip Multiprocessor Platforms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xiaowen Wu, Jiang Xu 0001, Yaoyao Ye, Zhehui Wang, Mahdi Nikdast, Xuan Wang 0001 SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xianmin Chen, Niraj K. Jha Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16V. Karthikeyan V. J. Vijayalakshmi Control Loop Feedback Mechanism for Generic Array Logic Chip Multiprocessor. Search on Bibsonomy CoRR The full citation details ... 2014 DBLP  BibTeX  RDF
16Magnus Jahre Graph-based performance accounting for chip multiprocessor memory systems. Search on Bibsonomy PACT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Milovan Duric, Oscar Palomar, Aaron Smith, Milan Stanic, Osman S. Unsal, Adrián Cristal, Mateo Valero, Doug Burger, Alexander V. Veidenbaum Dynamic-vector execution on a general purpose EDGE chip multiprocessor. Search on Bibsonomy ICSAMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Martti Forsell, Jussi Roivainen REPLICA T7-16-128 - A 2048-threaded 16-core 7-FU chained VLIW chip multiprocessor. Search on Bibsonomy ACSSC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Pavlos Maniotis, Savvas Gitzenis, Leandros Tassiulas, Nikos Pleros A novel chip-multiprocessor architecture with optically interconnected shared L1 optical cache memory. Search on Bibsonomy OFC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Ashish Venkat, Dean M. Tullsen Harnessing ISA diversity: Design of a heterogeneous-ISA chip multiprocessor. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Bhoopendra Kumar, Aryabartta Sahu Online Scheduling of Applications on 3D Stacked Large Chip Multiprocessor. Search on Bibsonomy PDCAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Flavius Gruian, Martin Schoeberl Hardware support for CSP on a Java chip multiprocessor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Sanghoon Lee 0006, James Tuck 0001 Automatic parallelization of fine-grained metafunctions on a chip multiprocessor. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ismail Akturk, Ozcan Ozturk 0001 Reliability-Aware Heterogeneous 3D Chip Multiprocessor Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Luis Angel D. Bathen, Yongjin Ahn, Sudeep Pasricha, Nikil D. Dutt MultiMaKe: Chip-multiprocessor driven memory-aware kernel pipelining. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Jiayin Li, Meikang Qiu, Jianwei Niu 0002, Laurence T. Yang, Yongxin Zhu 0001, Zhong Ming 0001 Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Apan Qasem, Joshua Magee Improving TLB performance on current chip multiprocessor architectures through demand-driven superpaging. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Aditya Agrawal, Prabhat Jain, Amin Ansari, Josep Torrellas Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Muhammad Nadeem, HeeJong Park 0001, Zhenmin Li, Morteza Biglari-Abhari, Zoran Salcic GALS-CMP: Chip-Multiprocessor for GALS Embedded Systems. Search on Bibsonomy ARCS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Tórur Biskopstø Strøm, Wolfgang Puffitsch, Martin Schoeberl Chip-multiprocessor hardware locks for safety-critical Java. Search on Bibsonomy JTRES The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16David Kadjo, Hyungjun Kim, Paul Gratz, Jiang Hu, Raid Ayoub Power gating with block migration in chip-multiprocessor last-level caches. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Eustace Painkras A chip multiprocessor for a large-scale neural simulator. Search on Bibsonomy 2013   RDF
16Hammad Rashid, Clara Novoa, Mark McKenney, Apan Qasem Efficient parallel solutions to the integral knapsack problem on current chip-multiprocessor systems. Search on Bibsonomy Int. J. Parallel Emergent Distributed Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16David Stevens, Vassilios A. Chouliaras, Vicente Azorin-Peris, Jia Zheng, Angelos Echiadis, Sijung Hu BioThreads: A Novel VLIW-Based Chip Multiprocessor for Accelerating Biomedical Image Processing Applications. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 503 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license