The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase clock-gating (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2000 (19) 2001-2002 (15) 2003 (16) 2004 (19) 2005 (20) 2006 (23) 2007 (24) 2008 (24) 2009 (29) 2010 (18) 2011-2012 (27) 2013 (15) 2014-2015 (17) 2016-2018 (18) 2019-2021 (19) 2022-2023 (15) 2024 (1)
Publication types (Num. hits)
article(80) inproceedings(239)
Venues (Conferences, Journals, ...)
ISLPED(22) DAC(15) ISCAS(15) PATMOS(14) ASP-DAC(10) DATE(10) IEEE Trans. Very Large Scale I...(10) IEEE Trans. Comput. Aided Des....(9) VLSI Design(9) ICCD(8) HPCA(6) ICCAD(6) ISQED(6) ISVLSI(6) DSD(5) ISCAS (2)(5) More (+10 of total 119)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 239 occurrences of 145 keywords

Results
Found 319 publication records. Showing 319 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Mondira Deb Pant, Pankaj Pant, D. Scott Wills, Vivek Tiwari Inductive Noise Reduction at the Architectural Level. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SIMD, superscalar, Clock-gating, Ground Bounce
27Manfred Koegst, Günter Franke, Steffen Rülke, Klaus Feske Low Power Design of FSMs by State Assignment and Disabling Self-Loops. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FSM synthesis, encoding constraints, low power design, clock gating, state assignment
27Suresh Rajgopal Challenges in Low Power Microprocessor Design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power benchmarks, latch power, idle power, active power, clock enabling, max power, thermal power, transient power, low-power, clock gating, microprocessor design, di/dt
26Saravanan Ramamoorthy, Haibo Wang 0005, Sarma B. K. Vrudhula A Low-Power Double-Edge-Triggered Address Pointer Circuit for FIFO Memory Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, memory, circuit design, FIFO
26Masaitsu Nakajima, Takao Yamamoto, Masayuki Yamasaki, Tetsu Hosoki, Masaya Sumita Low Power Techniques for Mobile Application SoCs Based on Integrated Platform "UniPhier". Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Wanping Zhang, Ling Zhang, Rui Shi 0003, He Peng, Zhi Zhu, Lew Chua-Eoan, Rajeev Murgai, Toshiyuki Shibuya, Noriyuki Ito, Chung-Kuan Cheng Fast power network analysis with multiple clock domains. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Wei-Sheng Huang, Tay-Jyi Lin, Shih-Hao Ou, Chih-Wei Liu, Chein-Wei Jen Pipelining technique for energy-aware datapaths. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Maurice Meijer, Francesco Pessolano, José Pineda de Gyvez Glitch-free discretely programmable clock generation on chip. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Roger Su, Raman Mittal, Vivek Garg Synchronous Pipelined Relay Stations with Back-Pressure Tolerance. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Claude Arm, Jean-Marc Masgonty, Christian Piguet Double-Latch Clocking Scheme for Low-Power I.P. Cores. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Michael D. Powell, Arijit Biswas, Joel S. Emer, Shubhendu S. Mukherjee, Basit R. Sheikh, Shrirang M. Yardi CAMP: A technique to estimate per-structure power at run-time using a few simple parameters. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Anmol Mathur, Qi Wang Power Reduction Techniques and Flows at RTL and System Level. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Howard David, Zhao Zhang 0010 Thermal modeling and management of DRAM memory systems. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal management, thermal modeling, DRAM memories
23Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee A Floorplan-Aware Dynamic Inductive Noise Controller for Reliable Processor Design. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Chung-Hsien Hua, Wei Hwang, Chih-Kai Chen Noise-tolerant XOR-based conditional keeper for high fan-in dynamic circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Nuri Alperen Kose, Razaq Jinad, Amar Rasheed, Narasimha Shashidhar, Mohamed Baza, Hani Alshahrani Detection of Malicious Threats Exploiting Clock-Gating Hardware Using Machine Learning. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Gunjan Rajput, V. Logashree, Kunika Naresh Biyani, Santosh Kumar Vishvakarma Clock Gating-Based Effectual Realization of Stochastic Hyperbolic Tangent Function for Deep Neural Hardware Accelerators. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Mangal Deep Gupta, Rajeev K. Chauhan, Sandeep Gulia Hardware Efficient Hybrid Pseudo-Random Bit Generator Using Coupled-LCG and Multistage LFSR with Clock Gating Network. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Christodoulos Peltekis, Dionysios Filippas, Giorgos Dimitrakopoulos, Chrysostomos Nicopoulos Low-Power Data Streaming in Systolic Arrays with Bus-Invert Coding and Zero-Value Clock Gating. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Daniel Xing, Yuntao Liu 0001, Ankur Srivastava 0001 Low Power Logic Obfuscation Through System Level Clock Gating. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Doyeon Won, Soomin Kim 0001, Taewhan Kim Machine Learning Driven Synthesis of Clock Gating. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Sora Park, Taewhan Kim Machine Learning Based Flip-Flop Grouping for Toggling Driven Clock Gating. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Chaehyun Kim, Taewhan Kim Maximizing Power Saving Through State-Driven Clock Gating. Search on Bibsonomy ISOCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Marko S. Andjelkovic, Oliver Schrape, Anselm Breitenreiter, Milos Krstic SET and SEU Hardened Clock Gating Cell. Search on Bibsonomy DCIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Christodoulos Peltekis, Dionysios Filippas, Giorgos Dimitrakopoulos, Chrysostomos Nicopoulos Low-Power Data Streaming in Systolic Arrays with Bus-Invert Coding and Zero-Value Clock Gating. Search on Bibsonomy MOCAST The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
23Gianluca Giustolisi, Rosario Mita, Gaetano Palumbo, Giuseppe Scotti A Novel Clock Gating Approach for the Design of Low-Power Linear Feedback Shift Registers. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Oguz Meteer, Arvid B. Van Den Brink, Marco Jan Gerrit Bekooij Energy-Efficient Radix-4 Belief Propagation Polar Code Decoding Using an Efficient Sign-Magnitude Adder and Clock Gating. Search on Bibsonomy DSD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Sora Park, Taewhan Kim Selective Clock Gating Based on Comprehensive Power Saving Analysis. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
23Kuruvilla John, R. S. Vinod Kumar, S. S. Kumar 0001 Low power pulsed flip-flop with clock gating and conditional pulse enhancement. Search on Bibsonomy Int. J. Autom. Control. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23S. Prema, N. Karthikeyan, S. Karthik 0001 Ultra-Low Power and High Sensitivity of Joint Clock Gating Based Dual Feedback Edge Triggered Flip Flop for Biomedical Imaging Applications. Search on Bibsonomy J. Medical Imaging Health Informatics The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Yassine Attaoui, Mohamed Chentouf, Zine El Abidine Alaoui Ismaili, Aimad El Mourabit Clock Gating Efficiency and Impact on Power Optimization During Synthesis Flow. Search on Bibsonomy ICM The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Preethi, Mohan Govindsa Kabadi, K. Sudeendra Kumar, K. K. Mahapatra Low Power Sorters Using Clock Gating. Search on Bibsonomy iSES The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23Chen Zhao, Yongshun Wang Clock gating circuit design based on data-driven improvements. Search on Bibsonomy EITCE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
23N. L. Venkataraman, Rajagopal Kumar 0001 An efficient NoC router design by using an enhanced AES with retiming and clock gating techniques. Search on Bibsonomy Trans. Emerg. Telecommun. Technol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Lamjed Touil, Abdelaziz Hamdi, Ismail Gassoumi, Abdellatif Mtibaa Design of Low-Power Structural FIR Filter Using Data-Driven Clock Gating and Multibit Flip-Flops. Search on Bibsonomy J. Electr. Comput. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
23Ramaian Subramanian Kamalakannan, Kuppusamy Venkatachalam Low power radiation aware transistor level design using tri-state inverter embedded non-clock gating technique. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Khushbu Chandrakar, Suchismita Roy A SAT-Based Methodology for Effective Clock Gating for Power Minimization. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Abhishek Nag, Subhajit Das 0004, Sambhu Nath Pradhan Low-Power FSM Synthesis Based on Automated Power and Clock Gating Technique. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23M. Sharath, G. Poornima Design of Energy Efficient ALU Using Clock Gating for a Sensor Node. Search on Bibsonomy REV The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Tae-Hyeong Kim, Dae-Jin Kim, Hyeon-Sam Shin, Sang-Ho Lee, Jae-Won Suh, Byung-Do Yang Low Power Digital PWM Buck Converter With a Clock-Gating Shift-Register. Search on Bibsonomy ICEIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Yonghwi Kwon 0002, Inhak Han, Youngsoo Shin Clock Gating Synthesis of Netlist with Cyclic Logic Paths. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Gyoung-Hwan Hyun, Taewhan Kim Flip-flop State Driven Clock Gating: Concept, Design, and Methodology. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Tasnuva Noor, Emre Salman A Novel Glitch-Free Integrated Clock Gating Cell for High Reliability. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Ludovic Moreau, Rémi Dekimpe, David Bol A 0.4V 0.5fJ/cycle TSPC Flip-Flop in 65nm LP CMOS with Retention Mode Controlled by Clock-Gating Cells. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Junran Pu, Vishnu P. Nambiar, Aarthy Mani, Wang Ling Goh, Anh-Tuan Do Ower and Area Efficient Router with Automated Clock Gating for Neuromorphic Computing. Search on Bibsonomy SoCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
23Ivan Ratkovic, Oscar Palomar, Milan Stanic, Osman Sabri Unsal, Adrián Cristal, Mateo Valero Vector Processing-Aware Advanced Clock-Gating Techniques for Low-Power Fused Multiply-Add. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23R. Udaiyakumar, Senoj Joseph, T. V. P. Sundararajan, Dhasarathan Vigneswaran, R. Maheswar 0001, Iraj S. Amiri Self Clock-Gating Scheme for Low Power Basic Logic Element Architecture. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Dhiraj Sarkar, Pritam Bhattacharjee, Alak Majumder Data-Dependent Clock Gating approach for Low Power Sequential System. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
23Pritam Bhattacharjee, Bipasha Nath, Alak Majumder LECTOR Based Clock Gating for Low Power Multi-Stage Flip Flop Applications. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
23Giyoung Yang, Taewhan Kim Design and algorithm for clock gating and flip-flop co-optimization. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
23Doron Gluzer, Shmuel Wimer Probability-Driven Multibit Flip-Flop Integration With Clock Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Endri Bezati, Simone Casale Brunet, Marco Mattavelli, Jörn W. Janneck Clock-Gating of Streaming Applications for Energy Efficient Implementations on FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Alireza Namazi, Meisam Abdollahi PCG: Partially Clock-Gating Approach to Reduce the Power Consumption of Fault-Tolerant Register Files. Search on Bibsonomy DSD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Yu Liu 0028, Yingyezhe Jin, Peng Li 0001 Exploring sparsity of firing activities and clock gating for energy-efficient recurrent spiking neural processors. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
23Liang Geng, Jizhong Shen, Congyuan Xu Power-efficient dual-edge implicit pulse-triggered flip-flop with an embedded clock-gating scheme. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Liang Geng, Jizhong Shen, Congyuan Xu Design of flip-flops with clock-gating and pull-up control scheme for power-constrained and speed-insensitive applications. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Abhishek Nag, Sambhu Nath Pradhan An Autonomous Clock Gating Technique in Finite State Machines Based on Registers Partitioning. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Georgios Pouiklis, Georgios Ch. Sirakoulis Clock gating methodologies and tools: a survey. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Pritam Bhattacharjee, Alak Majumder, Tushar Dhabal Das A 90 nm leakage control transistor based clock gating for low power flip flop applications. Search on Bibsonomy MWSCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Tiziana Fanni, Carlo Sau, Paolo Meloni, Luigi Raffo, Francesca Palumbo Power and clock gating modelling in coarse grained reconfigurable systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Ivan Ratkovic, Oscar Palomar, Milan Stanic, Osman S. Unsal, Adrián Cristal, Mateo Valero A Fully Parameterizable Low Power Design of Vector Fused Multiply-Add Using Active Clock-Gating Techniques. Search on Bibsonomy ISLPED The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Inhak Han, Jonggyu Kim, Joonhwan Yi, Youngsoo Shin Register grouping for synthesis of clock gating logic. Search on Bibsonomy ICICDT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
23Youn Sung Park, Yaoyu Tao, Zhengya Zhang A Fully Parallel Nonbinary LDPC Decoder With Fine-Grained Dynamic Clock Gating. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Essam Elsayed, Hatem M. El-Boghdadi A novel power-efficient multi-operand digit-multiplier using reconfiguration and clock gating. Search on Bibsonomy J. Supercomput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Mohsen Riahi Alam, Mostafa Ersali Salehi Nasab, Sied Mehdi Fakhraie Power Efficient High-Level Synthesis by Centralized and Fine-Grained Clock Gating. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Joonhwan Yi, Jong-Gyu Kim Power modeling for digital circuits with clock gating. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Bao Le, Djordje Maksimovic, Dipanjan Sengupta, Erhan Ergin, Ryan Berryhill, Andreas G. Veneris Constructing stability-based clock gating with hierarchical clustering. Search on Bibsonomy PATMOS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Vazgen Melikyan, Eduard Babayan, Anush Melikyan, Davit Babayan, Poghos Petrosyan, Edvard Mkrtchyan Clock gating and multi-VTH low power design methods based on 32/28 nm ORCA processor. Search on Bibsonomy EWDTS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Sungyoul Seo, Yong Lee 0002, Joohwan Lee, Sungho Kang 0001 A scan shifting method based on clock gating of multiple groups for low power scan testing. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Hiroyuki Akasaka, Shin-ya Abe, Masao Yanagisawa, Nozomu Togawa Energy-efficient High-level Synthesis for HDR Architecture with Multi-stage Clock Gating. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Shmuel Wimer, Israel Koren Design Flow for Flip-Flop Grouping in Data-Driven Clock Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Inhak Han, Youngsoo Shin Simplifying Clock Gating Logic by Matching Factored Forms. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Shmuel Wimer Easy and difficult exact covering problems arising in VLSI power reduction by clock gating. Search on Bibsonomy Discret. Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Liangzhen Lai, Vikas Chandra, Robert C. Aitken, Puneet Gupta 0001 BTI-Gater: An Aging-Resilient Clock Gating Methodology. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Shmuel Wimer, Arye Albahari A Look-Ahead Clock Gating Based on Auto-Gated Flip-Flops. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Kwanyeob Chae, Saibal Mukhopadhyay Resilient Pipeline Under Supply Noise With Programmable Time Borrowing and Delayed Clock Gating. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Robert Najvirt, Andreas Steininger Equivalence of clock gating and synchronization with applicability to GALS communication. Search on Bibsonomy PATMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
23Maarten Boersma, Ulrike Schmidt 0002, Markus Kaltenbach Automatic detection of sticky clock gating equations. Search on Bibsonomy MBMV The full citation details ... 2014 DBLP  BibTeX  RDF
23Hiroyuki Akasaka, Shin-ya Abe, Masao Yanagisawa, Nozomu Togawa Energy-efficient High-level Synthesis for HDR Architectures with Clock Gating Based on Concurrency-oriented Scheduling. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Aslam A. Rafi, T. R. Viswanathan 0001 Harmonic Rejection Mixing Techniques Using Clock-Gating. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Jagrit Kathuria Low power clock gating techniques for synchronous buffer-based queue for 3D MPSoC. Search on Bibsonomy Int. J. Embed. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Chih-Long Chang, Iris Hui-Ru Jiang Pulsed-Latch Replacement Using Concurrent Time Borrowing and Clock Gating. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Shih-Hsu Huang, Wen-Pin Tu, Chia-Ming Chang 0002, Song-Bin Pan Low-power anti-aging zero skew clock gating. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Mohamed O. Shaker, Magdy A. Bayoumi Novel clock gating techniques for low power flip-flops and its applications. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Bao Le, Dipanjan Sengupta, Andreas G. Veneris Reviving erroneous stability-based clock-gating using partial Max-SAT. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Youn Sung Park, Yaoyu Tao, Zhengya Zhang A 1.15Gb/s fully parallel nonbinary LDPC decoder with fine-grained dynamic clock gating. Search on Bibsonomy ISSCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Salim Farah, Magdy A. Bayoumi A comprehensive operand-aware dynamic clock gating scheme for low-power Domino Logic. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Youhua Shi, Hiroaki Igarashi, Nozomu Togawa, Masao Yanagisawa Suspicious timing error prediction with in-cycle clock gating. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Zong-Han Yang, Tsung-Yi Ho Timing-aware clock gating of pulsed-latch circuits for low power design. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Kun-Han Tsai, Shuo Sheng Design rule check on the clock gating logic for testability and beyond. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Vinay C. Patil, Sudarshan Srinivasan, Wayne P. Burleson, Sandip Kundu Impact of Clock-Gating on Power Distribution Network Using Wavelet Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Shih-Hsu Huang, Wen-Pin Tu, Bing-Hung Li High-Level Synthesis for Minimum-Area Low-Power Clock Gating. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2012 DBLP  BibTeX  RDF
23Ki-Sung Sohn, Da-In Han, Ki-Ju Baek, Nam-Soo Kim, Yeong-Seuk Kim Low Power Clock Gating for Shift Register. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Xin Man, Takashi Horiyama, Shinji Kimura Automatic Multi-Stage Clock Gating Optimization Using ILP Formulation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Seungwhun Paik, Inhak Han, Sangmin Kim, Youngsoo Shin Clock Gating Synthesis of Pulsed-Latch Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Shih-Hung Weng, Yu-Min Kuo, Shih-Chieh Chang Timing Optimization in Sequential Circuit by Exploiting Clock-Gating Logic. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Ning Huang, En Zhu Scan power reduction based on clock-gating. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Emilia Noorsal, Kriangkrai Sooksood, Ulrich Bihr, Joachim Becker, Maurits Ortmanns Distributed clock gating for power reduction of a programmable waveform generator for neural stimulation. Search on Bibsonomy EMBC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Kazuyuki Tanimura, Nikil D. Dutt LRCG: latch-based random clock-gating for preventing power analysis side-channel attacks. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 319 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license