The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1976 (18) 1977-1988 (16) 1989-1993 (17) 1994-1995 (49) 1996 (24) 1997 (21) 1998 (42) 1999 (28) 2000 (24) 2001 (25) 2002 (24) 2003 (35) 2004 (38) 2005 (44) 2006 (44) 2007 (53) 2008 (58) 2009 (27) 2010 (25) 2011 (23) 2012 (19) 2013 (21) 2014 (16) 2015 (20) 2016-2017 (31) 2018-2019 (28) 2020-2021 (26) 2022-2023 (20) 2024 (7)
Publication types (Num. hits)
article(279) data(1) inproceedings(542) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 825 occurrences of 369 keywords

Results
Found 823 publication records. Showing 823 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
52Margrit R. Krug, Marcelo de Souza Moraes, Marcelo Lubaszewski Using a software testing technique to identify registers for partial scan implementation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware testing, testability improvement, hardware description language, automatic test generation, partial scan design
52Qiushuang Zhang, Ian G. Harris Partial BIST insertion to eliminate data correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Seongmoon Wang, Srimat T. Chakradhar A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Qingwei Wu, Michael S. Hsiao Efficient Sequential ATPG Based on Partitioned Finite-State-Machine Traversal. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Irith Pomeranz, Sudhakar M. Reddy On the Coverage of Delay Faults in Scan Designs with Multiple Scan Chains. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Qiushuang Zhang, Ian G. Harris Partial BIST insertion to eliminate data correlation. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Irith Pomeranz, Sudhakar M. Reddy PASTA: Partial Scan to Enhance Test Compaction. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Michael S. Hsiao, Gurjeet S. Saund, Elizabeth M. Rudnick, Janak H. Patel Partial Scan Selection Based on Dynamic Reachability and Observability Information. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test generation, DFT, partial scan
52Hsing-Chung Liang, Chung-Len Lee 0001, Jwu E. Chen Identifying invalid states for sequential circuit test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
52Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Synthesis of Sequential Circuits by Redundancy Removal and Retiming. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synthesis of sequential circuits, sequentially redundant fault, retiming, redundant fault, redundancy removal
52Douglas Chang, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Takashi Aikyo, Kwang-Ting Cheng A Test Synthesis Approach to Reducing BALLAST DFT Overhead. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
52Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
52Jaehong Park, M. Ray Mercer Using Functional Information and Strategy Switching in Sequential ATPG. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
52Dimitrios Kagaris, Spyros Tragoudas, Dinesh Bhatia Pseudo-exhaustive built-in TPG for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
50Håvard Pedersen Alstad, Snorre Aunet Three Subthreshold Flip-Flop Cells Characterized in 90 nm and 65 nm CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50S. H. Rasouli, Amir Amirabadi, A. Seyedi, Ali Afzali-Kusha Double edge triggered Feedback Flip-Flop in sub 100NM technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Fujio Ishihara, Farhana Sheikh, Borivoje Nikolic Level conversion for dual-supply systems. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF level conversion, flip-flop, dual-supply voltage
50Li Ding 0002, Pinaki Mazumder, N. Srinivas A dual-rail static edge-triggered latch. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
50Huan-Chih Tsai, Sudipta Bhawmik, Kwang-Ting Cheng An almost full-scan BIST solution-higher fault coverage and shorter test application time. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
50Arun Balakrishnan, Srimat T. Chakradhar Peripheral Partitioning and Tree Decomposition for Partial Scan. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
49Tadayoshi Enomoto, Suguru Nagayama, Nobuaki Kobayashi Low-Power High-Speed 180-nm CMOS Clock Drivers. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.640 ns, CMOS clock drivers, register array, delay flip-flops, 251 muW, CMOS technology, power dissipation, delay time, 0.18 micron
49Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
49Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Automatic test generation using genetically-engineered distinguishing sequences. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF two-phase algorithm, fault effects, DIGATE, genetic algorithms, genetic algorithm, fault diagnosis, logic testing, sequential circuits, sequential circuit, automatic testing, sequences, flip-flops, automatic test generation, distinguishing sequence
49Stefano Barbagallo, Monica Lobetti Bodoni, Davide Medina, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Scan insertion criteria for low design impact. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scan insertion criteria, design impact, flip-flop ordering, capacitance constraints, layout information, Italtel Design Environment, logic testing, integrated circuit testing, sequential circuits, automatic testing, application specific integrated circuits, logic CAD, flip-flops, integrated circuit design, power dissipation, partial scan, design flow, boundary scan testing, scan chain, capacitance, full scan
49A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Clock Gated Static Pulsed Flip-Flop (CGSPFF) in Sub 100 nm Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha Low power low leakage clock gated static pulsed flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Karthik Duraisami, Enrico Macii, Massimo Poncino Using soft-edge flip-flops to compensate NBTI-induced delay degradation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF device aging, seff, setup and hold time, flip-flop, circuit reliability, nbti
47Sherif A. Tawfik, Volkan Kursun Characterization of New Static Independent-Gate-Biased FinFET Latches and Flip-Flops under Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Antonio Zenteno Ramírez, Guillermo Espinosa, Víctor H. Champac Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Wai Chung, Timothy Lo, Manoj Sachdev A comparative analysis of low-power low-voltage dual-edge-triggered flip-flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Yannick Bonhomme, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Power Driven Chaining of Flip-Flops in Scan Architectures. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Tomasz Garbolino, Andrzej Hlawiczka A New LFSR with D and T Flip-Flops as an Effective Test Pattern Generator for VLSI Circuits. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Samy Makar, Edward J. McCluskey ATPG for scan chain latches and flip-flops. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bistable element, scan chain circuit, combinational defect detection, algorithm, ATPG, automatic test pattern generation, automatic testing, stuck-at fault, flip-flop, latch, checking experiment
47Toshinobu Ono Selecting partial scan flip-flops for circuit partitioning. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
42Yibo Chen, Yuan Xie 0001 Tolerating process variations in high-level synthesis using transparent latches. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Boxue Yin, Dong Xiang, Zhen Chen New Techniques for Accelerating Small Delay ATPG and Generating Compact Test Sets. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Yen-Ting Liu, Lih-Yih Chiou, Soon-Jyh Chang Energy-efficient adaptive clocking dual edge sense-amplifier flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Kambiz Rahimi, Chris Diorio In-Circuit Self-Tuning of Clock Latencies. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Dong Xiang, Janak H. Patel Partial Scan Design Based on Circuit State Information and Functional Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Valid state, invalid state, testability improvement potential, conflict, testability measure, partial scan design
42Pradeep Varma, Ashutosh Chakraborty Low-Voltage, Double-Edge-Triggered Flip Flop. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Dong Xiang, Shan Gu, Jia-Guang Sun, Yu-Liang Wu A cost-effective scan architecture for scan testing with non-scan test power and test application cost. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Vikram Saxena, Farid N. Najm, Ibrahim N. Hajj Estimation of state line statistics in sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF signal statistics, transition density, finite-state machine, sequential circuit, Power estimation, switching activity, signal probability
42Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen Verifying sequential equivalence using ATPG techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Dong Xiang, Yi Xu A Multiple Phase Partial Scan Design Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Yiannis Moisiadis, Ilias Bouras, Angela Arapoyanni, Lampros Dermentzoglou A high-performance low-power static differential double edge-triggered flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Huy Nguyen 0001, Rabindra K. Roy, Abhijit Chatterjee Partial Reset Methodology and Experiments for Improving Random-Pattern Testability and BIST of Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit BIST, built0in self-test, fault propagation analysis, BIST, partial reset
42Lukasz Surdej, Leslaw Gniewek Fuzzy Hold Flip-Flop and Flip-Flops Hardware Realizations. Search on Bibsonomy Int. J. Fuzzy Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
41Chul Soo Kim, Joo-Seong Kim, Bai-Sun Kong, Yongsam Moon, Young-Hyun Jun Presetting pulse-based flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Antonio G. M. Strollo, Davide De Caro, Ettore Napoli, Nicola Petra A novel high-speed sense-amplifier-based flip-flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Ruibing Lu, Guoan Zhong, Cheng-Kok Koh, Kai-Yuan Chao Flip-Flop and Repeater Insertion for Early Interconnect Planning. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Mark Vesterbacka A robust differential scan flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Hsin-Hua Pan, Hung-Ming Chen, Chia-Yi Chang Buffer/flip-flop block planning for power-integrity-driven floorplanning. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Min-Lun Chuang, Chun-Yao Wang Synthesis of reversible sequential elements. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sequential elements, sequential circuits, Reversible logic
35Mohammad Ghasemazar, Massoud Pedram Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Jingye Xu, Abinash Roy, Masud H. Chowdhury Optimization technique for flip-flop inserted global interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Melanie Elm, Hans-Joachim Wunderlich, Michael E. Imhof, Christian G. Zoellin, Jens Leenstra, Nicolas Mäding Scan chain clustering for test power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test, low power, design for test, scan design
35Ganesh S. Dasika, Shidhartha Das, Kevin Fan, Scott A. Mahlke, David M. Bull DVFS in loop accelerators using BLADES. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded systems, low power, high-level synthesis, voltage scaling, frequency scaling
35Dong Xiang, Mingjing Chen, Hideo Fujiwara Using Weighted Scan Enable Signals to Improve Test Effectiveness of Scan-Based BIST. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Random testability, scan enable signal, weighted random testing, scan-based BIST
35Dong Xiang, Kaiwei Li, Jiaguang Sun, Hideo Fujiwara Reconfigured Scan Forest for Test Application Cost, Test Data Volume, and Test Power Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scan forest, test application cost, test data volume, test power
35Jingye Xu, Abinash Roy, Masud H. Chowdhury Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Himanshu Thapliyal, A. Prasad Vinod 0001 Design of Reversible Sequential Elements With Feasibility of Transistor Implementation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Nima Honarmand, Ali Shahabi, Hasan Sohofi, Maghsoud Abbaspour, Zainalabedin Navabi High Level Synthesis of Degradable ASICs Using Virtual Binding. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Kedarnath J. Balakrishnan, Lei Fang RTL Test Point Insertion to Reduce Delay Test Volume. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Suresh Kumar Devanathan, Michael L. Bushnell Test Pattern Generation Using Modulation by Haar Wavelets and Correlation for Sequential BIST. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Haihua Yan, Adit D. Singh A New Delay Test Based on Delay Defect Detection Within Slack Intervals (DDSI). Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Kambiz Rahimi, Chris Diorio Design and Application of Adaptive Delay Sequential Elements. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Sriparna Saha 0001, Susmita Sur-Kolay, Sanghamitra Bandyopadhyay, Parthasarathi Dasgupta Multiobjective Genetic Algorithm for k-way Equipartitioning of a Point Set with Application to CAD-VLSI. Search on Bibsonomy ICIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF balanced partitioning, low power clock trees in nanometer chips, genetic algorithm, cluster analysis, Multiobjective optimization
35Man Chung Hon Spec-based flip-flop and latch repeater planning. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
35Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya An Efficient Scan Tree Design for Compact Test Pattern Set. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Chuan Lin 0002, Hai Zhou 0001 Wire retiming as fixpoint computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Saibal Mukhopadhyay, Kaushik Roy 0001 Low-power scan design using first-level supply gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Mario R. Casu, Luca Macchiarulo Throughput-driven floorplanning with wire pipelining. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Shih Ping Lin 0001, Chung-Len Lee 0001, Jwu E. Chen A Scan Matrix Design for Low Power Scan-Based Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Tetsuya Yamada, Masahide Abe, Yusuke Nitta, Kenji Ogura, Manabu Kusaoke, Makoto Ishikawa, Motokazu Ozawa, Kiwamu Takada, Fumio Arakawa, Osamu Nishii, Toshihiro Hattori Low-Power Design of 90-nm SuperH Processor Core. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Saurabh Goyal, Mihir R. Choudhury, S. S. S. P. Rao, L. Kalyan Kumar Multiple Fault Testing of Logic Resources of SRAM-Based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Vidyasagar Nookala, Ying Chen, David J. Lilja, Sachin S. Sapatnekar Microarchitecture-aware floorplanning using a statistical design of experiments approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floorplanning, microarchitecture, wire pipelining
35Chuan Lin 0002, Hai Zhou 0001 Wire Retiming for System-on-Chip by Fixpoint Computation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Hafiz Md. Hasan Babu, Moinul Islam Zaber, Md. Mazder Rahman, Md. Rafiqul Islam 0001 Implementation of Multiple-Valued Flip-Flips Using Pass Transistor Logic. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Kohei Miyase, Seiji Kajihara, Sudhakar M. Reddy Multiple Scan Tree Design with Test Vector Modification. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Jiann-Chyi Rau, Ching-Hsiu Lin, Jun-Yi Chang An Efficient Low-Overhead Policy for Constructing Multiple Scan-Chains. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35V. Seth, Min Zhao 0001, Jiang Hu Exploiting level sensitive latches in wire pipelining. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita On Configuring Scan Trees to Reduce Scan Shifts based on a Circuit Structure. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Yajun Ran, Malgorzata Marek-Sadowska On designing via-configurable cell blocks for regular fabrics. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF via configurable, layout, regular fabric
35Irith Pomeranz, Sudhakar M. Reddy Property-based test generation for scan designs and the effects ofthe test application scheme and scan selection on the number ofdetectable faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Ranganathan Sankaralingam, Nur A. Touba Reducing Test Power During Test Using Programmable Scan Chain Disable. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Ramesh C. Tekumalla, Premachandran R. Menon Identification of primitive faults in combinational and sequentialcircuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Ondrej Novák, Jiri Nosek Test-per-Clock Testing of the Circuits with Scan. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell Path delay fault simulation of sequential circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Chung-Yang Huang, Forrest Brewer AQUILA: An Equivalence Checking System for Large Sequential Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF state exploration, formal verification, Design verification, equivalence checking
35Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III Integrated parametric timing optimization of digital systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Huan-Chih Tsai, Kwang-Ting Cheng, Sudipta Bhawmik On improving test quality of scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Christer Svensson, Atila Alvandpour Low power and low voltage CMOS digital circuit techniques. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, CMOS, digital circuits, low voltage
35Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Giovanni Squillero A Genetic Algorithm for the Computation of Initialization Sequences for Synchronous Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Initialization sequence, Genetic Algorithm, ATPG
35Irith Pomeranz, Sudhakar M. Reddy On the Detection of Reset Faults in Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
35Shang-E Tai, Debashis Bhattacharya A three-stage partial scan design method to ease ATPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF minimum feed back vertex set, design for testability, partial scan design
35Hsing-Chung Liang, Chung-Len Lee 0001, Jwu E. Chen Identifying Untestable Faults in Sequential Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF initializable, invalid states, controllability, test generation, untestable faults
35Vivek Chickermane, Jaushin Lee, Janak H. Patel Addressing design for testability at the architectural level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
33Pooya Jannaty, Florian C. Sabou, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky Numerical queue solution of thermal noise-induced soft errors in subthreshold CMOS devices. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS logic devices, reliability, Markov process, monte carlo method, poisson distribution, laplace transform
33Rubil Ahmadi A Hold Friendly Flip-Flop For Area Recovery. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 823 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license