The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Suchendra M. Bhandarkar, Hamid R. Arabnia Parallel Computer Vision on a Reconfigurable Multiprocessor Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Reconfigurable multiring network, computer vision, parallel algorithms, image processing, parallel processing, distributed algorithms, distributed processing, reconfigurable architectures, scalable architectures
31Itsuo Takanami, Tadayoshi Horita A built-in self-reconfigurable scheme for 3D mesh arrays. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault tolerant 3D processor arrays, 3D mesh arrays, self-reconfigurable scheme, track switches, fault compensation, reconfiguration, reconfigurable architectures
31Steven M. P. Yip, Nicholas Bambos Scalable routing schemes for massively parallel processing using reconfigurable optical interconnect. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scalable routing schemes, reconfigurable optical interconnect, message broadcasting, massively parallel processing system, randomly generated packets, device capabilities, parallel processing, reconfigurable architectures, optical interconnections, message routing, massively parallel processing
31Mounir Hamdi, Yi Pan 0001 Communication-efficient algorithms on reconfigurable array of processors with spanning optical buses. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reconfigurable array of processors, spanning optical buses, optical signal transmissions, RASOB, semi-group computations, parallel algorithms, parallel architectures, reconfiguration, reconfigurable architectures, optical interconnections, Gaussian eliminations
31Nikolaos Gaitanis, Panagiotis Kostarakis, Antonis M. Paschalis Totally Self Checking reconfigurable duplication system with separate internal fault indication. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF decision circuits, totally self checking system, reconfigurable duplication system, separate internal fault indication, single cell fault model, functional self checking units, decision circuit, indication outputs, nonstop repair, fault diagnosis, logic testing, built-in self test, redundancy, redundancy, reconfigurable architectures, switching circuits, error indication
31Patrick W. Dowd, James A. Perreault, John C. Chu, David C. Hoffmeister, Dan Crouse LIGHTNING: A Scalable Dynamically Reconfigurable Hierarchical WDM Network for High-Performance Clustering. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scalable dynamically reconfigurable hierarchical WDM network, high-performance clustering, supercomputer interconnection, optical network testbed, distributed shared memory environment, single-hop all-optical communication, n-level hierarchy, highly fault tolerant system behavior, memory interface, optical devices, scalability, parallel processing, reconfigurable architectures, system architecture, wavelength division multiplexing, wavelength division multiplexing, optical interconnections, optical information processing, LIGHTNING, traffic intensities
31Hussein M. Alnuweiri Constant-Time Parallel Algorithms for Image Labeling on a Reconfigurable Network of Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF constant-time parallel algorithms, reconfigurable network of processors, minimum-labeled PE, timecomplexity, parallel algorithms, computational complexity, image recognition, reconfigurable architectures, multiprocessorinterconnection networks, image labeling
30Ronald G. Dreslinski, David Fick, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge Reconfigurable Multicore Server Processors for Low Power Operation. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Server Architectures, Low Power, Reconfigurable
30Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin Automatic bus macro placement for partially reconfigurable FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning
30Yanxia Shen, Tai Li, Zhicheng Ji Research on the Reconfigurable Implementation of Neural Network Controller Based on FPGA for DC-DC Converters. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Reconfigurable design, Neural network, Hardware implementation, FPGA implementation
30Yuzhong Jiao, Xin'an Wang, Xuewen Ni A Fully Data-Driven Reconfigurable Architecture with Very Coarse-Grained Execution Units. Search on Bibsonomy Infoscale The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Processing element (PE), Execution unit (EU), Very-coarse-grained, Fully-data-driven, Reconfigurable architecture
30Sebastian Lange, Martin Middendorf Design Aspects of Multi-level Reconfigurable Architectures. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-level reconfiguration, dynamic reconfiguration, reconfigurable architecture
30Marco Lanuzza, Stefania Perri, Pasquale Corsonello, Martin Margala Energy Efficient Coarse-Grain Reconfigurable Array for Accelerating Digital Signal Processing. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coarse-grain array, DSP, Reconfigurable systems
30John H. Kelm, Steven S. Lumetta HybridOS: runtime support for reconfigurable accelerators. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CPU/accelerator architecture, operating system, reconfigurable computing
30Yanhui Wei, Dexin Xu, Yanbin Gao, Jie Zhao 0003, Hegao Cai Dynamical Research Based on a New Type of Reconfigurable Robot. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dynamical, Modular robots, Reconfigurable robots
30Alessio Montone, Marco D. Santambrogio, Donatella Sciuto A Design Workflow for the Identification of Area Constraints in Dynamic Reconfigurable Systems. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Area Constraints, Dynamic Reconfigurable System
30Kazuo Sakiyama, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Multicore Curve-Based Cryptoprocessor with Reconfigurable Modular Arithmetic Logic Units over GF(2n). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF arithmetic and logic units, Multiprocessor systems, public key cryptosystems, reconfigurable hardware, processor architectures
30Manman Peng, Jiaguang Sun, Yuming Wang A Phase-Based Self-Tuning Algorithm for Reconfigurable Cache. Search on Bibsonomy ICDS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable cache, self-tuning algorithm, low energy, program phase
30Xuegong Zhou, Liang Liang, Ying Wang 0032, Chenglian Peng Online Task Scheduling for Heterogeneous Reconfigurable Systems. Search on Bibsonomy CSCWD (Selected Papers) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hybrid Task, Scheduling, FPGA, Reconfigurable System
30Leandro Möller, Rafael Soares, Ewerson Carvalho, Ismael Grehs, Ney Calazans, Fernando Moraes 0001 Infrastructure for dynamic reconfigurable systems: choices and trade-offs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable architectures, NoCs, configuration controllers
30Yoonjin Kim, Ilhyun Park, Kiyoung Choi, Yunheung Paek Power-conscious configuration cache structure and code mapping for coarse-grained reconfigurable architecture. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable architecture (CGRA), context pipelining, temporal mapping, low power, system-on-chip (SoC), loop pipelining, configuration cache, spatial mapping
30Haibin Shen, Rongquan You, Yier Jin, Aiming Ji Interconnect Estimation for Mesh-Based Reconfigurable Computing. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mesh-based, probability, Interconnect, reconfigurable computing
30Atsushi Kawai, Toshiyuki Fukushige Gordon Bell finalists II - $158/GFLOPS astrophysical N-body simulation with reconfigurable add-in card and hierarchical tree algorithm. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cosmological simulation, many-body simulation, tree algorithm, reconfigurable processor
30Yanqiong Fei, Xifang Zhao Modules Classification and Automatic Generation of Kinematics on Self-reconfigurable Modular Machines. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF modules, kinematics, self-reconfigurable
30Sangjin Hong, Shu-Shin Chin Domain Specific Reconfigurable Processing Core Architecture for Digital Filtering Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, FFT, DCT, reconfigurable architecture, digital filtering, FIR
30Youngsun Han, Seon Kim, Chulwoo Kim Jaguar: A Compiler Infrastructure for Java Reconfigurable Computing. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, FPGA, compiler, Reconfigurable computing, Verilog
30Yuan-Hsiu Chen, Pao-Ann Hsiung Hardware Task Scheduling and Placement in Operating Systems for Dynamically Reconfigurable SoC. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Operating System for Reconfigurable SoC, Hardware Scheduling, Placement, Dynamic Partial Reconfiguration
30Chih-Hao Tseng, Pao-Ann Hsiung UML-Based Design Flow and Partitioning Methodology for Dynamically Reconfigurable Computing Systems. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, UML, partitioning, reconfigurable computing, codesign, sequence diagram, design flow
30Gerard K. Rauwerda, Paul M. Heysters, Gerard J. M. Smit Mapping Wireless Communication Algorithms onto a Reconfigurable Architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF heterogeneous reconfigurable architecture, MONTIUM, wireless communication algorithms, adaptivity, System-on-Chip (SoC), Software Defined Radio (SDR)
30Zhi Guo, Betul Buyukkurt, Walid A. Najjar Input data reuse in compiling window operations onto reconfigurable hardware. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF reuse analysis, compilation, high-level synthesis, VHDL, reconfigurable computing
30Katherine Compton, Scott Hauck Flexibility measurement of domain-specific reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmable hardware, flexibility, reconfigurable hardware
30Christian Plessl, Rolf Enzler, Herbert Walder, Jan Beutel, Marco Platzner, Lothar Thiele, Gerhard Tröster The case for reconfigurable hardware in wearable computing. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Body area computing system, Field-programmable gate arrays, Embedded systems, Wearable computing, Reconfigurable hardware
30Stefan Janson, Daniel Merkle, Martin Middendorf, Hossam A. ElGindy, Hartmut Schmeck On Enforced Convergence of ACO and its Implementation on the Reconfigurable Mesh Architecture Using Size Reduction Tasks. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, ant colony optimization, run-time reconfigurability, reconfigurable mesh
30John W. Lockwood, Christopher E. Neely, Christopher K. Zuver, David Lim Automated tools to implement and test Internet systems in reconfigurable hardware. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF network intrusion detection and prevention, Internet, Field Programmable Gate Array (FPGA), networks, tools, firewall, reconfigurable hardware
30Jose Antonio Boluda, Fernando Pardo A reconfigurable architecture for autonomous visual-navigation. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Log-polar vision, Differential algorithms, Reconfigurable architectures, Autonomous systems, Visual navigation
30Behzad Mohebbi, Eliseu Chavez Filho, Rafael Maestre, Mark Davies, Fadi J. Kurdahi A case study of mapping a software-defined radio (SDR) application on a reconfigurable DSP core. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reconfigurable computing, software-defined radio
30Sebastian Wallner A Reconfigurable Multi-threaded Architecture Model. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Computation Threads, Hardware Virtualization, Datapath Processor, Pipelining, Reconfigurable Architectures
30Sandeep Koranne A Novel Reconfigurable Wrapper for Testing of Embedded Core-Based SOCs and its Associated Scheduling Algorithm. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded core based test scheduling, reconfigurable wrapper, parallel scheduling of malleable tasks, system-on-chip test, VLSI test
30Ryan Kastner, Adam Kaplan, Seda Ogrenci Memik, Elaheh Bozorgzadeh Instruction generation for hybrid reconfigurable systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, high-level synthesis, reconfigurable computing
30Daler N. Rakhmatov, Sarma B. K. Vrudhula Hardware-software bipartitioning for dynamically reconfigurable systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF network flows, reconfigurable systems, hardware-software partitioning
30Guido Araujo, Sharad Malik, Zhining Huang, Nahri Moreano Datapath Merging and Interconnection Sharing for Reconfigurable Architectures. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high level and architectural synthesis, reconfigurable computing
30Eduardo Augusto Bezerra, Fabian Vargas 0001, Michael Paul Gough Improving Reconfigurable Systems Reliability by Combining Periodical Test and Redundancy Techniques: A Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF programmable-logic-array and space applications, fault tolerance, test, reconfigurable computing
30David Caliga, David Peter Barker Delivering acceleration: the potential for increased HPC application performance using reconfigurable logic. Search on Bibsonomy SC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, reconfigurable computing
30Hartej Singh, Guangming Lu, Eliseu M. Chaves Filho, Rafael Maestre, Ming-Hau Lee, Fadi J. Kurdahi, Nader Bagherzadeh MorphoSys: case study of a reconfigurable computing system targeting multimedia applications. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scheduling, SIMD, MPEG-2, automatic target recognition, dynamic configuration, reconfigurable processors
30Andrew A. Chien, Jay H. Byun Safe and Protected Execution for the Morph/AMRM Reconfigurable Processor. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiprocess Protection, Process isolation, Machine Virtualization, Adaptive Computing, Reconfigurable Processor
30Markus Weinhardt, Wayne Luk Pipeline Vectorization for Reconfigurable Systems. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware pipelines, FPGAs, reconfigurable computing, vectorization, loop transformations
30Kia Bazargan, Ryan Kastner, Majid Sarrafzadeh 3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF 3-D floorplanning, Reconfigurable computing, floorplanning
30Jacir Luiz Bordim, Tomoo Watanabe, Koji Nakano, Tatsuya Hayashi A Tool for Algorithm Visualization on the Reconfigurable Mesh. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Parallel Algorithms, Algorithm Animation, Reconfigurable Mesh
30Alexandre F. Tenca, Milos D. Ercegovac A Variable Long-Precision Arithmetic Unit Design for Reconfigurable Coprocessor Architectures. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF long-precision, computer arithmetic, reconfigurable architecture
30Koji Nakano, Stephan Olariu An Optimal Algorithm for the Angle-Restricted All Nearest Neighbor Problem on the Reconfigurable Mesh, with Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF proximity problems, ARANN, mobile computing, ANN, lower bounds, Reconfigurable mesh
30Shinji Kimura, Yasufumi Itou, Makoto Hirao, Katsumasa Watanabe, Mitsuteru Yukishita, Akira Nagoya A Hardware/Software Codesign Method for a General Purpose Reconfigurable Co-Processor. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware/software co-operation, a computer architecture using FPGA, bus-based reconfigurable co-processor architecture, high-level synthesis and optimization, C compiler to hardware modules
30Ju-wook Jang, Heonchul Park, Viktor K. Prasanna A Fast Algorithm for Computing a Histogram on Reconfigurable Mesh. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel algorithm, mapping, Histogram, reconfigurable mesh
30Biing-Feng Wang, Gen-Huey Chen Constant Time Algorithms for the Transitive Closure and Some Related Graph Problems on Processor Arrays with Reconfigurable Bus Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF related graph problems, reconfigurable bus systems, parallel algorithms, graph theory, minimum spanning trees, bipartite graphs, transitive closure, transitive closure, connected components, processor arrays, undirected graph, bridges, biconnected components, graph problems, articulation points
30Shinichi Kato, Minoru Watanabe Inversion/Non-inversion Implementation for an 11, 424 Gate-Count Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Dawei Wang 0020, Sikun Li, Yong Dou Collaborative hardware/software partition of coarse-grained reconfigurable system using evolutionary ant colony optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Deepak Sreedharan, Ali Akoglu A hybrid processing element based reconfigurable architecture for hashing algorithms. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Yong-Sheng Yin, Gaoming Du, Yu-Kun Song Study on the Multi-pipeline Reconfigurable Computing System. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Florian Thoma, Matthias Kühnle, Philippe Bonnot 0001, Elena Moscu Panainte, Koen Bertels, Sebastian Goller, Axel Schneider, Stéphane Guyetant, Eberhard Schüler, Klaus D. Müller-Glaser, Jürgen Becker 0001 MORPHEUS: Heterogeneous Reconfigurable Computing. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Sikun Li, Dawei Wang 0020, Tun Li, Yong Dou Distributed Collaborative Partition Method of Reconfigurable SoC Using Ant Colony Optimization. Search on Bibsonomy CSCWD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Koji Nakano Randomized Initialization on the 1-Dimensional Reconfigurable Mesh. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Reiner W. Hartenstein The Neumann Syndrome calls for a revolution. Search on Bibsonomy HPRCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Behnam Salemi, Mark Moll, Wei-Min Shen SUPERBOT: A Deployable, Multi-Functional, and Modular Self-Reconfigurable Robotic System. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Sajid Baloch, Tughrul Arslan, Adrian Stoica An Efficient Fault Tolerance Scheme for Preventing Single Event Disruptions in Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Michalis D. Galanis, Grigoris Dimitroulakos, Constantinos E. Goutis Mapping DSP applications on processor systems with coarse-grain reconfigurable hardware. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Guy Gogniat, Tilman Wolf, Wayne P. Burleson Reconfigurable Security Support for Embedded Systems. Search on Bibsonomy HICSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Marisa Llorens, Javier Oliver 0001 Marked-Controlled Reconfigurable Workflow Nets. Search on Bibsonomy SYNASC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Wenyin Fu, Katherine Compton An execution environment for reconfigurable computing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Timothy O'Sullivan, Richard Studdert Agent technology and reconfigurable computing for mobile devices. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Jawad Khan, Ranga Vemuri Battery-Efficient Task Execution on Reconfigurable Computing Platforms with Multiple Processing Units. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Evangelos F. Stefatos, Tughrul Arslan, Didier Keymeulen, Ian Ferguson An EHW Architecture for the Design of Unconstrained Low-Power FIR Filters for Sensor Control Using Custom-Reconfigurable Technology. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Christoph Steiger, Herbert Walder, Marco Platzner Operating Systems for Reconfigurable Embedded Platforms: Online Scheduling of Real-Time Tasks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, real-time, operating system, partial reconfiguration, online scheduling
30Marisa Llorens, Javier Oliver 0001 Structural and Dynamic Changes in Concurrent Systems: Reconfigurable Petri Nets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Juanjo Noguera, Rosa M. Badia Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Adaptable architectures and microarchitectures, runtime support for dynamic reconfiguration, dynamic scheduling
30Miljan Vuletic, Laura Pozzi, Paolo Ienne Dynamic Prefetching in the Virtual Memory Window of Portable Reconfigurable Coprocessors. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Brian F. Veale, John K. Antonio, Monte P. Tull Code Re-ordering for a Class of Reconfigurable Microprocessors. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Ingrid Verbauwhede, Patrick Schaumont The happy marriage of architecture and application in next-generation reconfigurable systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, embedded
30Guangzhi Li, Dongmei Wang, Jennifer Yates, Robert D. Doverspike, Charles R. Kalmanek Detailed Study of IP/ Reconfigurable Optical Networks. Search on Bibsonomy BROADNETS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Vincent Nollet, Paul Coene, Diederik Verkest, Serge Vernalde, Rudy Lauwereins Designing an Operating System for a Heterogeneous Reconfigurable So. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Saburo Matunaga, Ryuichi Hodoshima, Hideto Okada, Naoki Miyashita, Nobumasa Yamaguchi Ground experiment system of reconfigurable robot satellites. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Reiner W. Hartenstein Reconfigurable Computing: A New Business Model and its Impact on SoC Design. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Grant B. Wigley, David A. Kearney The First Real Operating System for Reconfigurable Computers. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Yi Pan 0001 Constant-Time Hough Transform on a 3D Reconfigurable Mesh Using Fewer Processors. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Juanjo Noguera, Rosa M. Badia Run-Time HW/SW Codesign for Discrete Event Systems using Dynamically Reconfigurable Architectures. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Jose Antonio Boluda, Fernando Pardo, Francisco Blasco, Joan Pelechano A Pipelined Reconfigurable Architecture for Visual-Based Navigation. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Ju-wook Jang, Madhusudan Nigam, Viktor K. Prasanna, Sartaj Sahni Constant Time Algorithms for Computational Geometry on the Reconfigurable Mesh. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30J. C. Cogolludo, Sanguthevar Rajasekaran Permutation Routing on Reconfigurable Meshes. Search on Bibsonomy ISAAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Roy M. Jenevein, James C. Browne A control processor for a reconfigurable array computer. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
29Brian Holland, Karthik Nagarajan, Alan D. George RAT: RC Amenability Test for Rapid Performance Prediction. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF formulation methodology, strategic design methodology, FPGA, reconfigurable computing, performance prediction
29Andreas Dandalis, Viktor K. Prasanna An adaptive cryptographic engine for internet protocol security architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance tradeoffs, reconfigurable components, cryptography, reconfigurable computing, AES, configurable, high performance, IPSec, reconfigurable systems, Adaptive computing
29Andreas Dandalis, Viktor K. Prasanna Run-time performance optimization of an FPGA-based deduction engine for SAT solvers. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable components, reconfigurable computing, configurable, high performance, Boolean satisfiability, reconfigurable systems, Adaptive computing, performance trade-offs
29Edwin Hsing-Mean Sha, Kenneth Steiglitz Reconfigurability and Reliability of Systolic/Wavefront Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF wavefront arrays, fault-tolerant redundant structures, reliable arrays, application graph, finitely reconfigurable, locally reconfigurable, reliability, lower bound, fault tolerant computing, reconfigurability, time complexity, systolic arrays, systolic arrays, reconfigurable architectures, dynamic graphs, bounded-degree graphs
29Stamatis Vassiliadis, Stephan Wong, Georgi Gaydadjiev, Koen Bertels, Georgi Kuzmanov, Elena Moscu Panainte The MOLEN Polymorphic Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Custom computing machines, reconfigurable microcode, polymorphic processors, FPGA, reconfigurable processors, firmware
29Reiner W. Hartenstein, Jürgen Becker 0001, Michael Herz, Rainer Kress 0002, Ulrich Nageldinger A Partitioning Programming Environment for a Novel Parallel Architecture. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF partitioning programming environment, novel parallel architecture, embedded accelerator, reconfigurable datapath hardware, accelerator partitioning, profiling-driven partitioning, resource-driven sequential partitioning, resource-driven structural partitioning, parallel architectures, software tools, programming environments, reconfigurable architectures, software performance evaluation, parallelizing compiler, performance optimization, program interpreters, parallelising compilers, parallelizing programming environment, optimising compilers, C programs
29John Schewel, Michael Thornburg, Steve Casselman Transformable computers & hardware object technology. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transformable computers, hardware object technology, reconfigurable aspects, computationally intensive software algorithms, on-the-fly use, field programmable gate arrays, field programmable gate arrays, programming, reconfigurable architectures, programmable logic arrays, hardware design, performance gain
28Lars Braun, Tobias Schwalb, Philipp Graf, Michael Hübner 0001, Michael Ullmann, Klaus D. Müller-Glaser, Jürgen Becker 0001 Adaptive Runtime System with Intelligent Allocation of Dynamically Reconfigurable Function Model and Optimized Interface Topologies. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Josef Angermeier, Christophe Bobda, Mateusz Majer, Jürgen Teich Erlangen Slot Machine: An FPGA-Based Dynamically Reconfigurable Computing Platform. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Heiko Hinkelmann, Peter Zipf, Manfred Glesner Dynamically Reconfigurable Systems for Wireless Sensor Networks. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Andreas Schallenberg, Wolfgang Nebel, Andreas Herrholz, Philipp A. Hartmann, Kim Grüttner, Frank Oppenheimer PolyDyn - Object-Oriented Modelling and Synthesis Targeting Dynamically Reconfigurable FPGAs. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Ali Ahmadinia, Josef Angermeier, Sándor P. Fekete, Tom Kamphans, Dirk Koch, Mateusz Majer, Nils Schweer, Jürgen Teich, Christopher Tessars, Jan van der Veen ReCoNodes - Optimization Methods for Module Scheduling and Placement on Reconfigurable Hardware Devices. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf FlexPath NP - Flexible, Dynamically Reconfigurable Processing Paths in Network Processors. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license