The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for switch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1969 (15) 1970-1976 (18) 1977-1981 (18) 1982-1983 (19) 1984 (19) 1985 (27) 1986 (27) 1987 (32) 1988 (59) 1989 (42) 1990 (56) 1991 (78) 1992 (84) 1993 (75) 1994 (100) 1995 (141) 1996 (124) 1997 (173) 1998 (152) 1999 (176) 2000 (216) 2001 (203) 2002 (258) 2003 (306) 2004 (340) 2005 (472) 2006 (549) 2007 (516) 2008 (529) 2009 (376) 2010 (242) 2011 (229) 2012 (235) 2013 (276) 2014 (289) 2015 (331) 2016 (314) 2017 (356) 2018 (365) 2019 (383) 2020 (364) 2021 (346) 2022 (366) 2023 (365) 2024 (91)
Publication types (Num. hits)
article(4054) data(3) incollection(14) inproceedings(5646) phdthesis(34) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4695 occurrences of 2325 keywords

Results
Found 9752 publication records. Showing 9752 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Guy G. Lemieux, David M. Lewis Analytical Framework for Switch Block Design. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Tao Lin 0003, Scott F. Midkiff, Jahng S. Park A Dynamic Topology Switch for the Emulation of Wireless Mobile Ad Hoc Networks. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MANET routing protocols, wireless networks, Wireless ad hoc networks, network simulation, network emulation
39Driss Benhaddou, Ala I. Al-Fuqaha, Ghulam M. Chaudhry New Multiprotocol WDM/CDMA-Based Optical Switch Architecture. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Ravi R. Iyer 0001, Laxmi N. Bhuyan Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures
39Thomas E. Anderson, Susan S. Owicki, James B. Saxe, Charles P. Thacker High Speed Switch Scheduling for Local Area Networks. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF iterative matching, statistical matching, ATM networks, switching scheduling
38Dengyuan Xu A Novel Scheduling Algorithm Based on Buffered Crossbar Switch Fabric in EPFTS Switch. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Qiaoyan Yu, Paul Ampadu Adaptive Error Control for NoC Switch-to-Switch Links in a Variable Noise Environment. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Dawei Wang, Zheng Cao, Xinchun Liu, Ninghui Sun HPP Switch: A Novel High Performance Switch for HPC. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Young-Keun Park, Gyungho Lee ATM cell scheduling with queue length-based priority scheme. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM cell scheduling, queue length based priority scheme, nonblocking switch, optimal input bypass queueing method, switch throughput maximisation, cell delay variations, delay variance, cell loss rate, neural network, asynchronous transfer mode, asynchronous transfer mode, ATM switches, buffer size, B-ISDN, FIFO queueing, nonuniform traffic, head-of-line blocking, input queues, cell loss probabilities
37Afshin Shiravi, Paul S. Min, Yoon G. Kim Traffic Dispatching Algorithm in Three-Stage Switch. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF three-stage switch, sequence preserving, load balancing, Packet switch
37Keun-Bae Kim, Hyup Jong Kim Back-Pressure Buffering Scheme to Improve the Cell Loss Property on the Output Buffered ATM Switch. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF back-pressure buffering, cell loss property, output buffered ATM switch, input cells, push-out mechanism, selective pressure mechanism, small sized memory, asynchronous transfer mode, shared memory, queueing theory, simulation results, computer simulation, performance comparison, FIFO, switch fabric, output buffer
37Aditya Agrawal, Magdy A. Bayoumi, Amr Elchouemi A new ATM congestion control scheme for shared buffer switch architectures. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pre-emptive congestion control scheme, shared buffer switch architectures, rate-based feedback scheme, guaranteed service traffic, continuous bit-rate traffic, leaky bucket mechanism, backward explicit congestion notification feedback scheme, mean inter-arrival time, buffer threshold throttle factor, cell reject throttle factor, rate reduction delta, transient behaviour, filter time, asynchronous transfer mode, ATM networks, simulation model, ATM switch, dynamic bandwidth allocation, best-effort traffic, variable bit-rate traffic, predictive scheme
37Peter Lidén, Peter Dahlgren Switch-level modeling of transistor-level stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transistor-level stuck-at faults, switch-level algorithms, fault modeling capability, fault detection measures, confidence degradation, unknown output values, uncertainty quantification, node model, fault diagnosis, logic testing, integrated circuit testing, circuit analysis computing, CMOS logic circuits, CMOS circuits, integrated circuit modelling, switch-level modeling
36Vincent John Mooney III, George F. Riley, Eung S. Shin Round-Robin Arbiter Design and Generation. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed arbiter, round-robin token passing, terabit switch, synthesis, arbiter
36Chenggong Charles Fan, Jehoshua Bruck Tolerating Multiple Faults in Multistage Interconnection Networks with Minimal Extra Stages. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF extra-stage, switch faults, stage masks, fault tolerance, Multistage Interconnection Networks (MIN)
36Ge Nong, Jogesh K. Muppala, Mounir Hamdi A Performance Model for ATM Switches with Multiple Input Queues. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance evaluation, analytical modeling, computer simulation, ATM switch
35Boris Nechaev, Vern Paxson, Mark Allman, Andrei V. Gurtov On calibrating enterprise switch measurements. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2009 DBLP  DOI  BibTeX  RDF network traces, switch-based packet capture, trace calibration, enterprise networks
35Saad Mneimneh Matching from the first iteration: an iterative switching algorithm for an input queued switch. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF iterative switching algorithms, number of iterations, speedup, matching algorithms, input queued switch
35Kun-Yuan Hsieh, Yung-Chia Lin, Chien-Ching Huang, Jenq Kuen Lee Enhancing Microkernel Performance on VLIW DSP Processors via Multiset Context Switch. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLIW DSP processor, optimizing context switch overhead, microkernel design
35Yan Wang, Zhiwen Bai, Miao Zhang, Wen Du, Ying Qin, Xiyang Liu Fitness calculation approach for the switch-case construct in evolutionary testing. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF switch-case construct, fitness function, evolutionary testing
35Katsuya Watabe, Tetsuya Saito, Nobutaka Matsumoto, Takuo Tanemura, Hideaki Imaizumi, Abdullah Al Amin, Mitsuru Takenaka, Yoshiaki Nakano, Hiroyuki Morikawa 80Gb/s Multi-wavelength Optical Packet Switching Using PLZT Switch. Search on Bibsonomy ONDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-wavelength, optical packet, PLZT switch, WDM
35O. Zouraraki, Paraskevas Bakopoulos, K. Vyrsokinos, Hercules Avramopoulos 2x2 Bismuth-Oxide-Fiber Based Crossbar Switch for All-Optical Switching Architectures. Search on Bibsonomy ONDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Optically controlled 2x2 switch, Bismuth Oxide Nonlinear fiber, Ultrafast Nonlinear Interferometer (UNI), all-optical signal processing
35Bill Lin 0001, Isaac Keslassy Frame-aggregated concurrent matching switch. Search on Bibsonomy ANCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 100% throughput, concurrent matching switch, frame scheduling, load-balanced router, packet switching
35Peter Zipf, Heiko Hinkelmann, Adeel Ashraf, Manfred Glesner A switch architecture and signal synchronization for GALS system-on-chips. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF NoC switch, clock stretching, synchronization, GALS
35Roberto Rojas-Cessa, Eiji Oki, H. Jonathan Chao Concurrent fault detection for a multiple-plane packet switch. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel planes, fault detection, packet switch, concurrent testing, single fault
35Edson L. Horta, Sergio Takeo Kofuji A Run-Time Reconfigurable ATM Switch. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Partial RTR, ATM Switch, Reconfigurable Logic
35Koji M. Kobayashi, Shuichi Miyazaki, Yasuo Okabe Competitive buffer management for multi-queue switches in qos networks using packet buffering algorithms. Search on Bibsonomy SPAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multi-queue switches, competitive analysis, buffer management
35Simone Medardoni, Marcello Lajolo, Davide Bertozzi Variation tolerant NoC design by means of self-calibrating links. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Brian Towles, William J. Dally Guaranteed scheduling for switches with configuration overhead. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF packet switching, optical switches
35K. Ravindran Design of Multicast Packet Swithches for High - speed Multi - Service Networks. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Cory Hawkins, Benjamin A. Small, D. Scott Wills, Keren Bergman The Data Vortex, an All Optical Path Multicomputer Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Optical switch fabrics, photonic packet switch, data vortex switch architecture, packet switching, optical switching
34Niraj K. Jha Fault Detection in CVS Parity Trees with Application to Strongly Self-Checking Parity and Two-Rail Checkers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF CVS parity trees, strongly self-checking parity, single stuck-at, stuck-open, stuck-on fault detection, cascode voltage switch, differential cascode voltage switch, EX-OR gates, single-ended cascode voltage switch, logic testing, fault location, logic gates, two-rail checkers
34Hossein Saidi 0001, Masoud Reza Hashemi On the necessary and sufficient requirement of a CIOQ switch to emulate an Output Queued switch. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
34Yury Audzevich, Levente Bodrog, Yoram Ofek, Miklós Telek Packet Loss Analysis of Load-Balancing Switch with ON/OFF Input Processes. Search on Bibsonomy EPEW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Artem Starostin, Alexandra Tsyban Verified Process-Context Switch for C-Programmed Kernels. Search on Bibsonomy VSTTE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Ping Chen, Andy Ye The effect of sparse switch patterns on the area efficiency of multi-bit routing resources in field-programmable gate arrays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Gaspar Mora, Pedro Javier García, José Flich, José Duato RECN-IQ: A Cost-Effective Input-Queued Switch Architecture with Congestion Management. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Chih-Chieh Chou, Cheng-Shang Chang, Duan-Shin Lee, Jay Cheng A Necessary and Sufficient Condition for the Construction of 2-to-1 Optical FIFO Multiplexers by a Single Crossbar Switch and Fiber Delay Lines. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Heng-Ming Hsu, Ching-Liang Dai, Ming-Ming Hsieh, Ming-Chang Tsai, Hsuan-Jung Peng Implementation and analysis of microwave switch in CMOS-MEMS technology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Jodelson A. Sabino, Thomas Stützle, Mauro Birattari, José Eugênio Leal ACO Applied to Switch Engine Scheduling in a Railroad Yard. Search on Bibsonomy ANTS Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Xiangrong Zhou, Peter Petrov Rapid and low-cost context-switch through embedded processor customization for real-time and control applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Jiho Chang, JongSu Yi, JunSeong Kim A Switch Wrapper Design for SNA On-Chip-Network. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jordan E. Massad, Hartono Sumali, David S. Epp, Christopher W. Dyck Modeling, Simulation, and Testing of the Mechanical Dynamics of an RF MEMS Switch. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Bill Lin 0001, Isaac Keslassy A Scalable Switch for Service Guarantees. Search on Bibsonomy Hot Interconnects The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Li Zhao 0002, Yan Luo, Laxmi N. Bhuyan, Ravishankar R. Iyer 0001 Design and Implementation of a Content-Aware Switch Using a Network Processor. Search on Bibsonomy Hot Interconnects The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Carlos Roberto dos Santos, Shusaburo Motoyama A QoS Provisioned CIOQ ATM Switch with m Internal Links. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Xing Fan, Magnus Jonsson, Hoai Hoang Efficient Many-to-Many Real-Time Communication Using an Intelligent Ethernet Switch. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Abdel Ejnioui, N. Ranganathan Routing on field-programmable switch matrices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Laxmi N. Bhuyan, Hu-Jun Wang Switch MSHR: A Technique to Reduce Remote Read Memory Access Time in CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CC-NUMA multiprocessor, memory latency problem, miss status holding register, interconnection network, execution-driven simulation
34Magdy S. Abadir, Jing Zeng, Carol Pyron, Juhong Zhu Automated Test Model Generation from Switch Level Custom Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Ali Mohammad Zareh Bidoki, Nasser Yazdani, Sayed Vahid Azhari, Siavash Samadian-Barzoki A High Speed ATM/IP Switch Fabric Using Distributed Scheduler. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34David A. Helder, Sugih Jamin End-Host Multicast Communication Using Switch-Trees Protocols. Search on Bibsonomy CCGRID The full citation details ... 2002 DBLP  DOI  BibTeX  RDF end-host multicast, peer-to-peer
34Ravi R. Iyer 0001, Laxmi N. Bhuyan, Ashwini K. Nanda Using Switch Directories to Speed Up Cache-to-Cache Transfers in CC-NUMA Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Rajgopal Kannan, Sibabrata Ray A Fair and Efficient Multicast ATM Switch based on Deflection Routing. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Multicasting, ATM Networks, Deflection Routing
34Ravi R. Iyer 0001, Laxmi N. Bhuyan Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Matthew G. Jacunski, P. Sadayappan, Dhabaleswar K. Panda 0001 All-to-All Broadcast on Switch-Based Clusters of Workstations. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Srinidhi Varadarajan, Tzi-cker Chiueh EtheReal: A Host-Transparent Real-Time Fast Ethernet Switch. Search on Bibsonomy ICNP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF electronic switching systems, quality of service, real-time systems, local area networks, packet switching, multimedia communication, network operating systems, microcomputer applications
34Shashidhar Thakur, Yao-Wen Chang, Martin D. F. Wong, S. Muthukrishnan 0001 Algorithms for an FPGA switch module routing problem with application to global routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Christopher A. Ryan, Joseph G. Tront FX: a fast approximate fault simulator for the switch-level using VHDL. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Kent L. Einspahr, Sharad C. Seth A switch-level test generation system for synchronous and asynchronous circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reverse time processing, stuck-open and stuck-at faults, time-frame expansion, sequential circuits, Automatic test generation
33Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Adnan Aziz, Amit Prakash, Vijaya Ramachandran A near optimal scheduler for switch-memory-switch routers. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF schedulers, parallelism, matching, randomization, routers
33Pertti Raatikainen, Teleste Oy, Juha Zidbeck Performance Comparison of Experimented Switching Architectures for ATM. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF broadband switching, transfer delay performance, Frame Synchronized Ring, switch structures, multidrop bus, multistage banyan network, interconnection networks, ATM, multiprocessor interconnection networks, ATM-switch, crossbar
33Qin Zheng An Enhanced Timed-Round-Robin Traffic Control Scheme for ATM Networks. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF timed round robin traffic control, guaranteed bandwidth, dynamic bandwidth sharing, bursty data traffic, integrated digital networks, cell transmission scheduling algorithm, feedback flow control, link bandwidth, ATM switch design, asynchronous transfer mode, asynchronous transfer mode, ATM networks, real-time communications, switch architectures
33Manolis Katevenis, Panagiota Vatsolaki, Aristides Efthymiou Pipelined Memory Shared Buffer for VLSI Switches. Search on Bibsonomy SIGCOMM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gigabit VLSI switch buffer, multiport buffer, pipelined memory, crossbar switch, shared buffering, input queueing
33Saad AlKasabi, Salim Hariri Performance analysis of a high-speed dynamically reconfigurable LAN. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamically reconfigurable LAN, reconfigurable switch, highspeed multi-link ring local area network, switch reconfigurability, multi-link ring network, M/M/n, M/D/n, virtual channel occupancy probabilities, infinite state Markov model, finite state Markov model, OPNET tool, packet transfer time, virtual channel flow, performance evaluation, field programmable gate arrays, performance analysis, local area networks, reconfigurable architectures, wormhole routing, network performance, queuing systems, interconnection topologies, system throughput
32Joseph Kee-Yin Ng, Ge Nong Multimedia applications on FDDI networks connected by an ATM switch. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-speed local area network, internetwork multimedia applications, ATM switch interconnected networks, asynchronous transfer mode, video, local area networks, images, audio, graphics, digital simulation, multimedia communication, multimedia applications, text, ATM switch, data transfer, FDDI, broadband networks, B-ISDN, B-ISDN, simulation experiments, LAN interconnection, FDDI networks, network parameters
32Eduard Cerny, Jan Gecsei Functional Description of Connector-Switch-Attenuator Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF connector-switch-attenuator networks, switch-level abstraction, digital MOS circuits, functional description, electron device testing, formal verification, logic testing, failure analysis, switching networks, characteristic functions, field effect integrated circuits
31JIanDe Yu, Jinmei Lai A novel minloop SB design to improve FPGA routability. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF minimum-loop-size maximization method, minloop switch box, routing resources design, fpga
31Simin He 0001, Shutao Sun, Wei Zhao, Yanfeng Zheng, Wen Gao 0001 Smooth switching problem in buffered crossbar switches. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CICQ, scheduling, smoothness, switch, buffered crossbar
31María Blanca Caminero, Carmen Carrión 0001, Francisco J. Quiles 0001, José Duato, Sudhakar Yalamanchili A Solution for Handling Hybrid Traffic in Clustered Environments: The MultiMedia Router MMR. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Clusters of Workstations (COWs), Quality of Service (QoS), multimedia communications, router architecture, switch scheduling
31Krishna Kant 0001 Performance of internal overload controls in large switches. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF internal overload control performance, large switches, switch sizes, call capacity, voice circuits, overload performance, network integrity, peripheral scanning schemes, scheduling, performance evaluation, virtual machines, logic CAD, circuit analysis computing, simulation model, service integrity, buffer sizes, switching circuits
30Henrique Cota de Freitas, Philippe Olivier Alexandre Navaux On the design of reconfigurable crossbar switch for adaptable on-chip topologies in programmable NoC routers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptable topologies, programmable NoC routers, networks-on-chip, reconfigurable computing, crossbar switch
30Joe Kelly, Dean Nicholson, Edwin Lowery, Victor Grothen Light-Enhanced FET Switch Improves ATE RF Power Settling. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FET, RF switch, power settling, HVM, high-volume manufacturing, ATE, test time, settling time
30Xiumin Gao Tunable Optical Trap Induced by Focal Shift and Focal Switch in a Focusing Apodized Optical System. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optical trap, focal shift, focal switch, apodizer
30Hongbing Fan, Jiping Liu, Yu-Liang Wu, Chak-Chung Cheung The exact channel density and compound design for generic universal switch blocks. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF universal switch block, routing algorithm, FPGA architecture
30Timothy J. Brothers, Suresh Muknahallipatna, Jerry C. Hamann Fibre Channel Switch Modeling at Fibre Channel-2 Level for Large Fabric Storage Area Network Simulations using OMNeT++: Preliminary Results. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FC-2 level, Simulator, Modeling, Scaling, Switch, Fabric, Fibre Channel
30Li Zhao 0002, Yan Luo, Laxmi N. Bhuyan, Ravi R. Iyer 0001 A Network Processor-Based, Content-Aware Switch. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF content-aware switch, ENP2611, Intel IXP 2400, network processor
30Iñigo Artundo, Daniel Manjarres, Wim Heirman, Christof Debaes, Joni Dambre, Jan M. Van Campenhout, Hugo Thienpont Reconfigurable Interconnects in DSM Systems: A Focus on Context Switch Behavior. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection network, multiprocessors, Reconfiguration, distributed shared memory, context switch
30Christoforos Kachris, Stamatis Vassiliadis Design of a web switch in a reconfigurable platform. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF web switch, reconfigurable logic
30John Mason Teaching by analogy: the switch statement. Search on Bibsonomy ACM SIGCSE Bull. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF methodology, switch, analogy
30Haralambos Laskaridis, Georgios I. Papadimitriou, Andreas S. Pomportsis Applying Optical Reconfiguration on ATM Switch Fabrics. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ATM, reconfiguration, correlation, switch fabric
30Jan-Jan Wu, Da-Wei Wang 0004, Yih-Fang Lin Placement of I/O servers to improve parallel I/O performance on switch-based clusters. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF part-time I/O assignment, switch-based clusters, parallel I/O, bipartite matching
30Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
30María Blanca Caminero, Carmen Carrión 0001, Francisco J. Quiles 0001, José Duato, Sudhakar Yalamanchili Investigating Switch Scheduling Algorithms to Support QoS in the Multimedia Router. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Quality of Service, multimedia communications, router architecture, switch scheduler
30Andrew S. Cassidy, Christopher P. Andrews, Donald E. Thomas, JoAnn M. Paul System-Level Modeling of a Network Switch SoC. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory visualization level design, network switch, computer-aided design, performance modeling, system modeling
30David Whelihan, Herman Schmit Memory optimization in single chip network switch fabrics. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF network switch, SOC, memory optimization
30Damon S. Love, Sudhakar Yalamanchili, José Duato, María Blanca Caminero, Francisco J. Quiles 0001 Switch Scheduling in the Multimedia Router (MMR). Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Quality of Service (QoS), Multimedia traffic, Router architecture, Switch scheduling
30Sreeranga P. Rajan, Masahiro Fujita, K. Yuan, Mike Tien-Chien Lee ATM switch design by high-level modeling, formal verification and high-level synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF verification, synthesis, ATM switch, high-level design
30William S. Coates, Jon K. Lexau, Ian W. Jones, Scott M. Fairbanks, Ivan E. Sutherland A FIFO Data Switch Design Experiment. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Data Switch, P**3, Asynchronous, FIFO
30Jaehong Shim, Kyongok Yun, Kyunghee Choi, Gihyun Jung, Seungkyu Park, Dugkyoo Choi A switch scheduling algorithm for periodic messages using laxity decomposition method. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF switch scheduling algorithm, periodic messages, laxity decomposition method, real time messages scheduling, laxities, schedulable messages, MLF algorithm, time division multiple access, simulation results, traffic matrix
30Hea-Sook Park, Sung-Jin Moon, Man-Sik Park, Boseob Kwon, Kwang-Suk Song Design of inter processor communication controller using ATM switch and analysis of its optimal message length considering retransmission. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF inter processor communication controller, optimal message length, distributed large-scale multiprocessors, ATM adaptation layer, message error rate, message retransmission rate, asynchronous transfer mode, ATM switch, asynchronous transfer mode switches
30George Kornaros, Christoforos E. Kozyrakis, Panagiota Vatsolaki, Manolis Katevenis Pipelined Multi-Queue Management in a VLSI ATM Switch Chip with Credit-Based Flow-Control. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF single-chip ATM switch, VLSI router, pipelined queue management, credit-based flow control
30Tadayoshi Horita, Itsuo Takanami A Polynomial Time Algorithm for Reconfiguring the 1 1/2 Track-Switch Model with PE and Bus faults. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF mesh arrays, the 1frac{1}{2} track-switch model, fault-tolerance, polynomial time algorithm, wafer scale integration
30Cheng-Shang Chang, Philip Heidelberger, Perwez Shahabuddin Fast Simulation of Packet Loss Rates in a Shared Buffer Communications Switch. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF importance sampling, packet loss, variance reduction, queuing model, rare event, communications switch
30Manju V. Hegde, Morteza Naraghi-Pour, Y. Li The deflecting multicast switch. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF deflecting multicast switch, multicast switching paradigm, replication functions, routing functions, low connection complexity, modular implementation, self-replication algorithm, self-routing algorithm, minimal control overhead, cell sequence maintenance, buffer delays, uniform traffic patterns, numerical results, analytical results, ATM, upper bound, distributed control, telecommunication network routing, cell loss probability
30Jian Wang, Ted H. Szymanski Power analysis of Input-Queued and Crosspoint-Queued crossbar switches. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Ziqian Dong, Roberto Rojas-Cessa Input- and Output-Based Shared-Memory Crosspoint-Buffered Packet Switches for Multicast Traffic Switching and Replication. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Teresa Nachiondo Frinós, José Flich, José Duato Efficient Reduction of HOL Blocking in Multistage Networks. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Ayman G. Fayoumi, Fahad Ahmed Al-Zahrani, Abdulgader A. Habiballa, Anura P. Jayasumana Performance Analysis of Multi-Fiber Synchronous Photonic Share-per-link Packet Switches. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 9752 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license