The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
34Ronaldo Rodrigues Ferreira, Gabriel L. Nazar, Jean da Rolt, Álvaro F. Moreira, Luigi Carro Live-Out Register Fencing: Interrupt-Triggered Soft Error Correction Based on the Elimination of Register-to-Register Communication. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
34Nam Duong, Rakesh Kumar Register Multimapping: A technique for reducing register bank conflicts in processors with large register files. Search on Bibsonomy SASP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Hanspeter Mössenböck, Michael Pfeiffer 0004 Linear Scan Register Allocation in the Context of SSA Form and Register Constraints. Search on Bibsonomy CC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Josep Llosa, Mateo Valero, Eduard Ayguadé, Antonio González 0001 Modulo Scheduling with Reduced Register Pressure. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF register allocation, software pipelining, Instruction scheduling, loop scheduling, register spilling
32Stéphan Jourdan, Ronny Ronen, Michael Bekerman, Bishara Shomar, Adi Yoaz A Novel Renaming Scheme to Exploit Value Temporal Locality Through Physical Register Reuse and Unification. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dependency redirection, physical register reuse, register and memory renaming, result reuse, value temporal locality
32Meng-chou Chang, Feipei Lai Efficient Exploitation of Instruction-Level Parallelism for Superscalar Processors by the Conjugate Register File Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multilevel boosting, shadow register file, conjugate register file, scheduling-conflict graph, Instruction-level parallelism, speculative execution, superscalar processors
32Tobias J. K. Edler von Koch, Igor Böhm, Björn Franke Integrated instruction selection and register allocation for compact code generation exploiting freeform mixing of 16- and 32-bit instructions. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ARCompact, dual instruction set architecture, variable-length instructions, register allocation, code size, instruction selection
32Xuejun Yang, Yu Deng 0001, Li Wang 0027, Xiaobo Yan, Jing Du 0002, Ying Zhang 0032, Guibin Wang, Tao Tang 0001 SRF Coloring: Stream Register File Allocation via Graph Coloring. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SRF coloring, stream register file, memory management, compiler optimization, graph coloring, stream processor
32Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum Dynamic register file resizing and frequency scaling to improve embedded processor performance and energy-delay efficiency. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic resizing, performance, embedded processor, register file
32Kimish Patel, Wonbok Lee, Massoud Pedram Active bank switching for temperature control of the register file in a microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register file, thermal model, temperature-aware design
32Joel David Hamkins, Russell G. Miller Post's Problem for Ordinal Register Machines. Search on Bibsonomy CiE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ordinal computability, ordinal register machine, Post’s Problem, computability
32Michael Drmota, Helmut Prodinger The register function for t-ary trees. Search on Bibsonomy ACM Trans. Algorithms The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Horton-Strahler numbers, Register function, generating functions, asymptotics
32Chantana Chantrapornchai, Wanlop Surakumpolthorn, Edwin Hsing-Mean Sha Design Exploration Framework Under Impreciseness Based on Register-Constrained Inclusion Scheduling. Search on Bibsonomy ASIAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Imprecise Design Exploration, Scheduling/Allocation, Multiple design attributes, Register constraint, Inclusion Scheduling, Imprecise information
32Kun Zhang 0006, Tao Zhang 0037, Santosh Pande Binary translation to improve energy efficiency through post-pass register re-allocation. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache power consumption, dead registers, register re-allocation, unused registers
32Masaharu Goto, Toshinori Sato Leakage Energy Reduction in Register Renaming. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF super-scalar processors, embedded processors, register renaming, deep submicron, Leakage energy
32Nam Sung Kim, Trevor N. Mudge Reducing register ports using delayed write-back queues and operand pre-fetch. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
32Torben Amtoft, Robert Muller Inferring annotated types for inter-procedural register allocation with constructor flattening. Search on Bibsonomy TLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF type systems, register allocation, effects, defunctionalization, certifying compilers
32Josep M. Codina, F. Jesús Sánchez, Antonio González 0001 A Unified Modulo Scheduling and Register Allocation Technique for Clustered Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register allocation, Modulo scheduling, clustered architectures, spill code, cluster assignment
32Vamsi K. Srikantam, N. Ranganathan, Srikanth Srinivasan 0002 CREAM: Combined Register and Module Assignment with Floorplanning for Low Power Datapath Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register and Module Assignment Design for low power, High level synthesis, Low power design, Floorplanning
32Massimiliano Poletto, Vivek Sarkar Linear scan register allocation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compilers, register allocation, code optimization
32Matthias Mutz Register Transfer Level VHDL Models without Clocks. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VHDL RT subset, register transfer level models
32Ambuj K. Singh, James H. Anderson, Mohamed G. Gouda The Elusive Atomic Register. Search on Bibsonomy J. ACM The full citation details ... 1994 DBLP  DOI  BibTeX  RDF linearizability, atomic register, wait-free synchronization
32Werner E. Kluge Data File Management in Shift Register Memories. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF LIFO/FIFO operation modes, management of sequentially organized files, record retrieval, updating, insertion, data transformations, deletion, relocation, shift-register memories
32Joseph S. M. Ho, Ian F. Akyildiz Dynamic hierarchical database architecture for location management in PCS networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF call delivery, directory register, location registeration, visitor location register, home location register
31Anjali Mahajan, M. Sadique Ali Hybrid Evolutionary Algorithm for the Graph Coloring Register Allocation Problem for Embedded Systems. Search on Bibsonomy Trans. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Deniz Balkan, Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghose Predicting and Exploiting Transient Values for Reducing Register File Pressure and Energy Consumption. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF General, Microprocessors, Pipeline processors, Performance attributes
31Mei Wen, Nan Wu 0003, Maolin Guan, Chunyuan Zhang Load scheduling: Reducing pressure on distributed register files for free. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Behnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley Register Bank Assignment for Spatially Partitioned Processors. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Haluk Rahmi Topcuoglu, Betül Demiröz, Mahmut T. Kandemir Solving the Register Allocation Problem for Embedded Systems Using a Hybrid Evolutionary Algorithm. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Weihua Zhang, Tao Bao, Binyu Zang, Chuanqi Zhu Optimizing Bandwidth Constraint through Register Interconnection for Stream Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Chandan Karfa, Chittaranjan A. Mandal, Dipankar Sarkar 0001, Chris Reade Register Sharing Verification During Data-Path Synthesis. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Santosh G. Nagarakatte, R. Govindarajan Register Allocation and Optimal Spill Code Scheduling in Software Pipelined Loops Using 0-1 Integer Linear Programming Formulation. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Noureddine Chabini, Wayne H. Wolf Register binding guided by the size of variables. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Qingying Deng, Minxuan Zhang, Jiang Jiang Register File Management and Compiler Optimization on EDSMT. Search on Bibsonomy ISPA Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Kyoung-Hwan Lim, YongHwan Kim, Taewhan Kim Interconnect and Communication Synthesis for Distributed Register-File Microarchitecture. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Dae-Hwan Kim, Hyuk-Jae Lee Integrated Instruction Scheduling and Fine-Grain Register Allocation for Embedded Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31V. Krishna Nandivada, Jens Palsberg SARA: Combining Stack Allocation and Register Allocation. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Fernando Magno Quintão Pereira, Jens Palsberg Register Allocation After Classical SSA Elimination is NP-Complete. Search on Bibsonomy FoSSaCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Jongwoo Bae, Neungsoo Park, Seong-Won Lee Register Array Structure for Effective Edge Filtering Operation of Deblocking Filter. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Lushan Liu, Ramalingam Sridhar, Shambhu J. Upadhyaya A 3-port Register File Design for Improved Fault Tolerance on Resistive Defects in Core-Cells. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu Register binding for clock period minimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high-level synthesis, clock skew, timing optimization
31Khaing Khaing Kyi Win, Weng-Fai Wong Cooperative Instruction Scheduling with Linear Scan Register Allocation. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Rami Beidas, Jianwen Zhu Scalable interprocedural register allocation for high level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Nagendran Rangan, Karam S. Chatha A Technique for Throughput and Register Optimization during Resource Constrained Pipelined Scheduling. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Kentaro Hamayasu, Vasily G. Moshnyaga Impact of Register-Cache Bandwidth Variation on Processor Performance. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Saisanthosh Balakrishnan, Gurindar S. Sohi Exploiting Value Locality in Physical Register Files. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Atsushi Ohori Register Allocation by Proof Transformation. Search on Bibsonomy ESOP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Ben A. Abderazek, Soichi Shigeta, Tsutomu Yoshinaga, Masahiro Sowa On the Design of a Register Queue Based Processor Architecture (FaRM-rq). Search on Bibsonomy ISPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Ramesh Karri, Balakrishnan Iyer, Israel Koren Phantom redundancy: a register transfer level technique for gracefully degradable data path synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Xuemei Zhao, Yizheng Ye Design and Realization of a Low Power Register File Using Energy Model. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Changqing Fu, Kent D. Wilken A faster optimal register allocator. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Lin Zhong 0001, Jiong Luo, Yunsi Fei, Niraj K. Jha Register Binding Based Power Management for High-level Synthesis of Control-Flow Intensive Behaviors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Matthias Müller 0002, Andreas Wortmann 0002, Sven Simon 0001, S. Wolter, Steffen Buch, Marek Wróblewski, Josef A. Nossek Low power register file architecture for application specific DSPs. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Lars Wehmeyer, Manoj Kumar Jain, Stefan Steinke, Peter Marwedel, M. Balakrishnan Analysis of the influence of register file size on energyconsumption, code size, and execution time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Mike J. G. Lewis, L. E. M. Brackenbury Exploiting Typical DSP Data Access Patterns and Asynchrony for a Low Power Multiported Register Bank. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Sid Ahmed Ali Touati Register Saturation in Superscalar and VLIW Codes. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Soner Önder, Rajiv Gupta 0001 Load and store reuse using register file contents. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Nazanin Mansouri, Ranga Vemuri Accounting for Various Register Allocation Schemes During Post-Synthesis Verification of RTL Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design-for-testability technique for register-transfer level circuits using control/data flow extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Sylvain Lelait, Guang R. Gao, Christine Eisenbeis A New Fast Algorithm for Optimal Register Allocation in Modulo Scheduled Loops. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Fred C. Chow, Robert Kennedy, Shin-Ming Liu, Raymond Lo, Peng Tu Register Promotion by Partial Redundancy Elimination of Loads and Stores. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Johan Agat Types for Register Allocation. Search on Bibsonomy Implementation of Functional Languages The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Silvia M. Müller, Uzi Vishkin Conflict-Free Access to Multiple Single-Ported Register Files. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Tsung-Yi Wu, Youn-Long Lin Register minimization beyond sharing among variables. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Todd A. Proebsting, Charles N. Fischer Demand-Driven Register Allocation. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF optimizing compiler
31David M. Gillies, Roy Dz-Ching Ju, Richard Johnson, Michael S. Schlansker Global Predicate Analysis and Its Application to Register Allocation. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Rajiv Gupta 0001, Mary Lou Soffa, Denise Ombres Efficient Register Allocation via Coloring Using Clique Separators. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF clique separators, node priorities, graph coloring, spans, spill code, interference graph
31Qi Ning Register allocation for optimal loop scheduling. Search on Bibsonomy CASCON The full citation details ... 1993 DBLP  BibTeX  RDF
31Todd A. Proebsting, Charles N. Fischer Probalistic Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Angelika Zobel Program structure as basis for parallelizing global register allocation. Search on Bibsonomy ICCL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Philip H. Sweany, Steven J. Beaty Post-compaction register assignment in a retargetable compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
31Vatsa Santhanam, Daryl Odnert Register Allocation Across Procedure and Module Boundaries. Search on Bibsonomy PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF RISC
31Gregory J. Chaitin Register Allocation & Spilling via Graph Coloring. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
31Gregory J. Chaitin Register allocation and spilling via graph coloring (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
31David R. Ditzel, Hubert R. McLellan Register Allocation for Free: The C Machine Stack Cache. Search on Bibsonomy ASPLOS The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
31Philip Brisk, Majid Sarrafzadeh Interference graphs for procedures in static single information form are interval graphs. Search on Bibsonomy SCOPES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF k-colorable subgraph problem, linear scan register allocation, static single information (SSI) form, compilers, register allocation, interval graph
31Xiaowei Li 0001, Toshimitsu Masuzawa, Hideo Fujiwara Strong self-testability for data paths high-level synthesis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF self-testability, testability constraints, interconnection assignment, test resources reusability, high level synthesis, high-level synthesis, design for testability, register transfer level, data flow graphs, data paths, register assignment
31Andrzej Hlawiczka, Michal Kopec Design and testing of fast and cost effective serial seeding TPGs based on one-dimensional linear hybrid cellular automata. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF serial seeding, linear hybrid cellular automata, n-cell CA register, p CdSR registers, cellular automata quasi shift register, PCASR, n-bit input sequence, logic testing, cellular automata, integrated circuit testing, automatic test pattern generation, application specific integrated circuits, polynomials, polynomial, logic CAD, cost, TPG, flip-flops, flip-flops, shift registers, pattern generators, integrated circuit economics
31Frank Mayer, Albrecht P. Stroele A Versatile BIST Technique Combining Test Registers and Accumulators. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test register, built-in self-test, register-transfer level, accumulator
31Bin-Hong Lin, Shao-Hui Shieh, Cheng-Wen Wu A MISR Computation Algorithm for Fast Signature Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF single-input signature register, memory-oriented policy, time-oriented policy, reverse zero-checking policy, Multiple-input signature register
31Joan Carletta, Christos A. Papachristou Structural constraints for circular self-test paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circular self-test paths, bit-level correlation, register adjacency, logic testing, built-in self test, built-in self test, integrated circuit testing, sequential circuits, automatic testing, flip-flops, test quality, register transfer level circuits
31David W. Wall Experience with a Software-Defined Machine Architecture. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF optimization, profiling, graph coloring, register allocation, RISC, pipeline scheduling, intermediate language, register windows, interprocedural
30Weifeng Xu, Russell Tessier Tetris-XL: A performance-driven spill reduction technique for embedded VLIW processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Very Long Instruction Word (VLIW) processor, instruction level parallelism, Register pressure
30Ioannis E. Venetis, Guang R. Gao Mapping the LU decomposition on a many-core architecture: challenges and solutions. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register tiling, load balancing, multi-core, local memory, LU decomposition
30Peter Koepke Ordinal Computability. Search on Bibsonomy CiE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Ordinal machines, Infinite Time Register Machines, Constructible sets
30Virgil Palanciuc, Dragos Badea A Spill Code Minimization Technique-Application in the Metrowerks StarCore C Compiler. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF rematerialization, Optimization, register allocation, spill code
30Lal George, Matthias Blume Taming the IXP network processor. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Intel IXA, bank assignment, programming languages, code generation, register allocation, integer linear programming, network processors
30Christoph W. Keßler, Andrzej Bednarski A Dynamic Programming Approach to Optimal Integrated Code Generation. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF integrated code generation, time profile, dynamic programming, register allocation, instruction scheduling, instruction selection
29Neeraj Goel, Anshul Kumar, Preeti Ranjan Panda Power Reduction in VLIW Processor with Compiler Driven Bypass Network. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Gilles Pokam, Olivier Rochecouste, André Seznec, François Bodin Speculative software management of datapath-width for energy optimization. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF narrow-width regions, compiler, reconfigurable computing, speculative execution, energy management, clock-gating
29Xiaotong Zhuang, Santosh Pande, John S. Greenland Jr. A Framework for Parallelizing Load/Stores on Embedded Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Chii-Hwa Lee, Min-Shiang Hwang, Wei-Pang Yang Enhanced privacy and authentication for the global system for mobile communications. Search on Bibsonomy Wirel. Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Luis Villa, Roger Espasa, Mateo Valero Effective Usage of Vector Registers in Advanced Vector Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Alfred V. Aho, Stephen C. Johnson, Jeffrey D. Ullman Code Generation for Machines with Multiregister Operations. Search on Bibsonomy POPL The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
28Brian R. Nickerson Graph Coloring Register Allocation for Processors with Multi-Register Operands. Search on Bibsonomy PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Intel 80960
27Diego Figueira Forward-XPath and extended register automata on data-trees. Search on Bibsonomy ICDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF alternating tree register automata, data-tree, forward XPath, infinite alphabet, unranked ordered tree, XML
27Jongeun Lee, Aviral Shrivastava A compiler optimization to reduce soft errors in register files. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architectural vulnerability factor, link-time optimization, embedded system, compilation, static analysis, soft error, register file
27Insup Shin, Seungwhun Paik, Youngsoo Shin Register allocation for high-level synthesis using dual supply voltages. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, high-level synthesis, register allocation, dual supply voltage
27Maziar Goudarzi, Tohru Ishihara Instruction cache leakage reduction by changing register operands and using asymmetric sram cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asymmetric sram, leakage, instruction cache, register renaming
27Han Liang, Piyush Mishra, Kaijie Wu 0001 Error Correction On-Demand: A Low Power Register Transfer Level Concurrent Error Correction Technique. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Concurrent error detection, register-transfer level, single-event upsets, hardware redundancy
Displaying result #301 - #400 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license