The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Stephen Roderick Hines, Gary S. Tyson, David B. Whalley Addressing instruction fetch bottlenecks by using an instruction register file. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L0/filter cache, instruction packing, instruction register file
27Xiangxue Li, Dong Zheng 0001, Kefei Chen Efficient Blind Signatures from Linear Feedback Shift Register. Search on Bibsonomy CDVE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Linear Feedback Shift Register, Blind Signature
27Elham Safi, Patrick Akl, Andreas Moshovos, Andreas G. Veneris, Aggeliki Arapoyanni On the latency, energy and area of checkpointed, superscalar register alias tables. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF latency, checkpointing, energy, register renaming
27Kimish Patel, Wonbok Lee, Massoud Pedram Minimizing power dissipation during write operation to register files. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF write operation, power, register file
27Xiangxue Li, Dong Zheng 0001, Kefei Chen Efficient Linkable Ring Signatures and Threshold Signatures from Linear Feedback Shift Register. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF characteristic sequence, linkable ring signature, linear feedback shift-register, threshold signature
27Dong Zheng 0001, Xiangxue Li, Kefei Chen, Jianhua Li Linkable Ring Signatures from Linear Feedback Shift Register. Search on Bibsonomy EUC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Characteristic sequence, Linkabilty, Anonymity, Linear feedback shift register, Ring signatures
27Deniz Dal, Nazanin Mansouri A high-level register optimization technique for minimizing leakage and dynamic power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power islands, register optimization, partitioning, HLS, high level synthesis, leakage, DSM
27Jinpyo Park, Soo-Mook Moon Optimistic register coalescing. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Copy coalescing, noncopy coalescing, graph coloring, register allocation
27Ney Laert Vilar Calazans, Edson I. Moreno, Fabiano Hessel, Vitor M. da Rosa, Fernando Moraes 0001, Everton Carara From VHDL Register Transfer Level to SystemC Transaction Level Modeling: A Comparative Case Study. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF transaction level, VHDL, SystemC, System modeling, register transfer level
27Rama Sangireddy, Arun K. Somani Application-Specific Computing with Adaptive Register File Architectures. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Computing capacity, compute-intensive Function, Memory bandwidth, Register File
27Mary D. Brown, Yale N. Patt Using Internal Redundant Representations and Limited Bypass to Support Pipelined Adders and Register Files. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF redundant binary, limited bypass, pipelined register file, signed digit
27Ramesh Karri, Balakrishnan Iyer Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Concurrent error detection, register transfer level, on line testing
27Subir K. Roy, Hiroaki Iwashita, Tsuneo Nakata Dataflow Analysis for Resource Contention and Register Leakage Properties. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Register Leakage, Simulation, Formal Verification, Resource Contention
27Zahari M. Darus, Iftekhar Ahmed 0003, Liakot Ali A test processor chip implementing multiple seed, multiple polynomial linear feedback shift register. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test processor chip, multiple polynomial linear feedback shift register, ASIC chip, scan-path testing, external IC tester, simulation, fault coverage, shift registers, pattern generator, multiple seed
27Christos A. Papachristou, Mikhail Baklashov A test synthesis technique using redundant register transfers. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions
27R. Moreno, Román Hermida, Milagros Fernández Register estimation in unscheduled dataflow graphs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF register estimation, scheduling, probabilities, high-level synthesis, area estimation
27Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
27Li Cheng, Dingxing Wang, Meiming Shen, Weimin Zheng, Peng Shanling The Compiler for Supporting Multithreading in Cyclic Register Windows. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pipeline, Multithreading, compilation optimization, register allocation, multicomputers
27Rajesh Gupta 0003, Melvin A. Breuer Partial scan design of register-transfer level circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial scan design, I-paths, design for testability, register-transfer level designs, balanced structures, partial scan design
27Kala Srivatsan, Chaitali Chakrabarti, Lori Lucke Low power data format converter design using semi-static register allocation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF code convertors, low power data format converter design, semi-static register allocation, processing modules, VLSI, linear programming, integer programming, signal processing, digital signal processing, power consumption, integer linear programming, heuristic programming, heuristic programming, VLSI implementations
27Sitaran Yadavalli, Irith Pomeranz, Sudhakar M. Reddy MUSTC-Testing: Multi-Stage-Combinational Test scheduling at the Register-Transfer Level. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MUSTC-testing, multi-stage-combinational test, control paths, signal types, module level pre-computed test sets, scheduling, logic testing, integrated circuit testing, combinational circuits, automatic testing, automatic test, register-transfer level, test scheduling, data-paths
26Stéphane Demri, Ranko Lazic 0001 LTL with the freeze quantifier and register automata. Search on Bibsonomy ACM Trans. Comput. Log. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Computational complexity, expressiveness
26Mei-Fang Chiang, Takumi Okamoto, Takeshi Yoshimura Lagrangian relaxation based register placement for high-performance circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Javier Carretero, Pedro Chaparro, Xavier Vera, Jaume Abella 0001, Antonio González 0001 End-to-end register data-flow continuous self-test. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF end-to-end protection, online testing, degradation, design errors, control logic
26Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie Register File Power Reduction Using Bypass Sensitive Compiler. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Peter Koepke, Ryan Siders Register computations on ordinals. Search on Bibsonomy Arch. Math. Log. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 03D60, 03E45
26Chun Jason Xue, Edwin Hsing-Mean Sha, Zili Shao, Meikang Qiu Effective Loop Partitioning and Scheduling under Memory and Register Dual Constraints. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jason Cong, Junjuan Xu Simultaneous FU and Register Binding Based on Network Flow Method. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Pushkar Tripathi, Rohan Jain, Srikanth Kurra, Preeti Ranjan Panda REWIRED - Register Write Inhibition by Resource Dedication. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Fang Lu, Lei Wang 0004, Xiaobing Feng 0002, Zhiyuan Li 0001, Zhaoqing Zhang Exploiting idle register classes for fast spill destination. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF spilling cost, data transfer
26Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Safe clocking register assignment in datapath synthesis. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jens Palsberg Verification of Register Allocators. Search on Bibsonomy VMCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Mojtaba Amiri-Kamalabad, Seyed Ghassem Miremadi, Mahdi Fazeli A Power Efficient Approach to Fault-Tolerant Register File Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Vivek Sarkar, Rajkishore Barik Extended Linear Scan: An Alternate Foundation for Global Register Allocation. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Min Ni, Seda Ogrenci Memik Early planning for clock skew scheduling during register binding. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Philip Brisk, Ajay Kumar Verma, Paolo Ienne Optimal polynomial-time interprocedural register allocation for high-level synthesis and ASIP design. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Praveen Raghavan, José L. Ayala, David Atienza, Francky Catthoor, Giovanni De Micheli, Marisa López-Vallejo Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Yukihide Kohira, Atsushi Takahashi 0001 A Fast Register Relocation Method for Circuit Size Reduction in Generalized-Synchronous Framework. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jie Guo 0007, Jun Liu, Björn Mennenga, Gerhard P. Fettweis A Phase-Coupled Compiler Backend for a New VLIW Processor Architecture Using Two-step Register Allocation. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Zhixiong Zhou, Hu He 0001, Yanjun Zhang, Yihe Sun, Adriel Cheng A 2-Dimension Force-Directed Scheduling Algorithm for Register-File-Connectivity Clustered VLIW Architecture. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Philip Brisk, Foad Dabiri, Roozbeh Jafari, Majid Sarrafzadeh Optimal register sharing for high-level synthesis of SSA form programs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kiran Puttaswamy, Gabriel H. Loh Implementing Register Files for High-Performance Microprocessors in a Die-Stacked (3D) Technology. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Keith D. Cooper, Anshuman Dasgupta Tailoring Graph-coloring Register Allocation For Runtime Compilation. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Stéphane Demri, Ranko Lazic 0001 LTL with the Freeze Quantifier and Register Automata. Search on Bibsonomy LICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Sebastian Hack, Daniel Grund, Gerhard Goos Register Allocation for Programs in SSA-Form. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Gokhan Memik, Masud H. Chowdhury, Arindam Mallik, Yehea I. Ismail Engineering Over-Clocking: Reliability-Performance Trade-Offs for High-Performance Register Files. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Fernando Magno Quintão Pereira, Jens Palsberg Register Allocation Via Coloring of Chordal Graphs. Search on Bibsonomy APLAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yixin Shi, Gyungho Lee Dynamic Partition of Memory Reference Instructions - A Register Guided Approach. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Steven Hsu, Amit Agarwal 0001, Kaushik Roy 0001, Ram Krishnamurthy 0001, Shekhar Borkar An 8.3GHz dual supply/threshold optimized 32b integer ALU-register file loop in 90nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-Vt/Vcc, flip-flop, hot spot, level converter
26Deming Chen, Jason Cong Register binding and port assignment for multiplexer optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Hassan Al Atat, Iyad Ouaiss Register Binding for FPGAs with Embedded Memory. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Betül Demiröz, Haluk Topcuoglu, Mahmut T. Kandemir A Hybrid Evolutionary Algorithm for Solving the Register Allocation Problem. Search on Bibsonomy EvoCOP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Dong Chun Lee, Hongjin Kim, Il-Sun Hwang Improved Location Scheme Using Circle Location Register in Mobile Networks. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Haibo Lin, Wenlong Li, Zhizhong Tang Overcoming Static Register Pressure for Software Pipelining in the Itanium Architecture. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Hiroshi Takamura, Koji Inoue, Vasily G. Moshnyaga Reducing Access Count to Register-Files through Operand Reuse. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Nestoras Tzartzanis, William W. Walker A Transparent Voltage Conversion Method and Its Application to a Dual-Supply-Voltage Register File. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26José L. Ayala, Marisa Luisa López-Vallejo, Alexander V. Veidenbaum, Carlos A. Lopez Energy Aware Register File Implementation through Instruction Predecode. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Cagdas Akturan, Margarida F. Jacome RS-FDRA: A register-sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Hiroshi Takamura, Koji Inoue, Vasily G. Moshnyaga Register File Energy Reduction by Operand Data Reuse. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26D. A. F. Ei-Dib, Mohamed I. Elmasry Low-power register-exchange Viterbi decoder for high-speed wireless communications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Modulo scheduling with integrated register spilling for clustered VLIW architectures. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Cagdas Akturan, Margarida F. Jacome RS-FDRA: a register sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, software pipelining, retiming, optimizing compilers, VLIW processors
26Abhiram G. Ranade, Sonal Kothari, Raghavendra Udupa Register Efficient Mergesorting. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ching-Te Chuang "Cool low power" 1GHz multi-port register file and dynamic latch in 1.8 V, 0.25 mum SOI and bulk technology (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Cindy Norris, James B. Fenwick Jr. Understanding and Improving Register Assignment. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Benjamin Bishop, Thomas P. Kelliher, Mary Jane Irwin The Design of a Register Renaming Unit. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Keith D. Cooper, L. Taylor Simpson Live Range Splitting in a Graph Coloring Register Allocator. Search on Bibsonomy CC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26A. V. S. Sastry, Roy Dz-Ching Ju A New Algorithm for Scalar Register Promotion based on SSA Form. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Dirk Herrmann, Rolf Ernst Register synthesis for speculative computation. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Josep Llosa, Mateo Valero, Eduard Ayguadé Heuristics for Register-Constrained Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Robert G. Burger, Oscar Waddell, R. Kent Dybvig Register Allocation Using Lazy Saves, Eager Restores, and Greedy Shuffling. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Alexandre E. Eichenberger, Edward S. Davidson, Santosh G. Abraham Minimum register requirements for a modulo schedule. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Wolfgang Ambrosch, M. Anton Ertl, Felix Beer, Andreas Krall Dependence-Conscious Global Register Allocation. Search on Bibsonomy Programming Languages and System Architectures The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Clifford Liem, Trevor C. May, Pierre G. Paulin Register assignment through resource classification for ASIP microcode generation. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
26Qi Ning, Guang R. Gao A Novel Framework of Register Allocation for Software Pipelining. Search on Bibsonomy POPL The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Shlomit S. Pinter Register Allocation with Instruction Scheduling: A New Approach. Search on Bibsonomy PLDI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26B. Ramakrishna Rau, Meng Lee, Parthasarathy P. Tirumalai, Michael S. Schlansker Register Allocation for Software Pipelined Loops. Search on Bibsonomy PLDI The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26Rajiv Gupta 0001 A fine-grained MIMD architecture based upon register channels. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF multiprocessor system, parallelizing compilers, instruction scheduling, aliasing, channels, fine-grained parallelism
26Nam Sung Woo A Global, Dynamic Register Allocation and Binding for a Data Path Synthesis System. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Rajiv Gupta 0001, Mary Lou Soffa, Tim Steele Register Allocation via Clique Separators. Search on Bibsonomy PLDI The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Cees J. A. Jansen, Dick E. Boekee The Shortest Feedback Shift Register That Can Generate A Given Sequence. Search on Bibsonomy CRYPTO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Manuel L. Anido, David J. Allerton, Ed Zaluska A Three-Port/Three-Access Register File for Concurrent Processing and I/O Communication in a RISC-Like Graphics Engine. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Computer Image Generation, Computer Architecture, VLSI Design, Interprocessor Communication, RISC, Reduced Instruction Set Computers
26David Knapp An Interactive Tool for Register-level Structure Optimization. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Baruch Awerbuch, Lefteris M. Kirousis, Evangelos Kranakis, Paul M. B. Vitányi A Proof Technique for Register Automicity. Search on Bibsonomy FSTTCS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
26Ming-Der Shieh, Hsin-Fu Lo, Ming-Hwa Sheu High-speed generation of LFSR signatures. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-speed generation, LFSR signatures, compaction simulation, single-input signature register, equivalent multiple-input implementation, finite field theory, high-speed signature computations, lookahead technique, internal-XOR LFSR, external-XOR LFSR, performance evaluation, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, BIST, linear feedback shift register, binary sequences, subsequences
26Jacob Savir Reduced Latch Count Shift Registers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shift register latch, scan register, shifting clocks, STUMPS architecture, LSSD
25Mohamed M. Sabry, José L. Ayala, David Atienza Thermal-aware compilation for system-on-chip processing architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compiler, register-file, thermal-aware
25Lian Li 0002, Hui Feng, Jingling Xue Compiler-directed scratchpad memory management via graph coloring. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF live range splitting, memory coloring, graph coloring, memory allocation, Scratchpad memory, register coalescing, software-managed cache
25Dietmar Ebner, Bernhard Scholz, Andreas Krall Progressive spill code placement. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF constrained min-cut, spilling, register allocation, SSA form
25Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Safe clocking for the setup and hold timing constraints in datapath synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ordered clocking, register assignment, datapath synthesis
25Andrei Sergeevich Terechko, Henk Corporaal Inter-cluster communication in VLIW architectures. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF intercluster communication, pipelining, Instruction-level parallelism, register allocation, VLIW, instruction scheduler, optimizing compiler, clock frequency, cluster assignment
25Asadollah Shahbahrami, Ben H. H. Juurlink, Demid Borodin, Stamatis Vassiliadis Avoiding Conversion and Rearrangement Overhead in SIMD Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded media processors, multimedia kernels, register file, subword parallelism
25Sultan Al-Hinai, Lynn Margaret Batten, Bernard D. Colbert, Kenneth Koon-Ho Wong Algebraic Attacks on Clock-Controlled Stream Ciphers. Search on Bibsonomy ACISP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF irregular clocking, stream cipher, linear feedback shift register, algebraic attack, clock control
25Van-Ly Le, Werner Schindler How to Embed Short Cycles into Large Nonlinear Feedback-Shift Registers. Search on Bibsonomy SCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF short cycles, systems of algebraic equations, low-cost group identification, Nonlinear feedback shift register, invariant theory
25Mizuhito Ogawa, Zhenjiang Hu, Isao Sasano Iterative-free program analysis. Search on Bibsonomy ICFP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SP term, dynamic programming, program analysis, register allocation, control flow graph, tree width, catamorphism
25Venkata Krishnan, Josep Torrellas The Need for Fast Communication in Hardware-Based Speculative Chip Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF register communication, Chip-multiprocessor, speculative multithreading, data-dependence speculation
25Isidro Gonzalez, Marco Galluzzi, Alexander V. Veidenbaum, Marco Antonio Ramírez, Adrián Cristal, Mateo Valero A distributed processor state management architecture for large-window processors. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Xuxian Jiang, Helen J. Wang, Dongyan Xu, Yi-Min Wang RandSys: Thwarting Code Injection Attacks with System Service Interface Randomization. Search on Bibsonomy SRDS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Sumeet Kumar, Aneesh Aggarwal Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Yen-Jen Chang An Alternative Real-Time Filter Scheme to Block Buffering. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license