The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Makoto Sugihara, Hiroshi Date, Hiroto Yasuura A novel test methodology for core-based system LSIs and a testing time minimization problem. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Sandip Kundu GateMaker: a transistor to gate level model extractor for simulation, automatic test pattern generation and verification. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee An Implicit Algorithm for Finding Steady States and its Application to FSM Verification. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF model checking, verification, guided search
9Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo Gauss-elimination-based generation of multiple seed-polynomial pairs for LFSR. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Wolfgang Kunz, Dominik Stoffel, Prem R. Menon Logic optimization and equivalence checking by implication analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das Delay Fault Coverage Enhancement Using Variable Observation Times. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF statistical delay fault coverage, delay test observation times, delay fault testing
9Yuji Kukimoto, Wilsin Gosti, Alexander Saldanha, Robert K. Brayton Approximate timing analysis of combinational circuits under the XBD0 model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay computation, timing analysis, False path
9Chuan-Yu Wang, Kaushik Roy 0001 COSMOS: a continuous optimization approach for maximum power estimation of CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS Digital Circuits, Reliability, Power Estimation
9Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Fast identification of untestable delay faults using implications. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Laura Farinetti, Pier Luca Montessoro The Dynamic Rollback Problem in Concurrent Event-Driven Fault Simulation. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Fault Simulation
9Nur A. Touba, Bahram Pouya Testing Embedded Cores Using Partial Isolation Rings. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Intellectual Property Cores, Isolation Rings, Boundary Scan, Hill Climbing, Partial Scan, Embedded Cores, Digital Testing
9Ismed Hartanto, Vamsi Boppana, Janak H. Patel, W. Kent Fuchs Diagnostic Test Pattern Generation for Sequential Circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Rathish Jayabharathi, Kyung Tek Lee, Jacob A. Abraham A Novel Solution for Chip-Level Functional Timing Verification. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Chip-level Functional Timing Verification, Formal Verification techniques, Critical Path Analysis
9Silvia Chiusano, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Cellular automata for deterministic sequential test pattern generation. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF deterministic sequential test pattern generation, cellular automaton identification, hardware structure, area occupation, ASIC testing, evolutionary algorithm, cellular automata, BIST, fault coverage, stuck-at faults, FSM, deterministic automata
9Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das Delay Fault Coverage Enhancement Using Multiple Test Observation Times. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
9Angela Krstic, Kwang-Ting Cheng Vector Generation for Maximum Instantaneous Current Through Supply Lines for CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Shih-Chieh Chang, Malgorzata Marek-Sadowska, Kwang-Ting Cheng Perturb and simplify: multilevel Boolean network optimizer. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Kowen Lai, Christos A. Papachristou BIST Testability Enhancement of System Level Circuits : Experience with An Industrial Design. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Ghassan Al Hayek, Chantal Robach On the Adequacy of Deriving Hardware Test Data from the Behavioral Specification. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware test data, behavioral fault modeling, gate-level strategies, high-level fault detection, gate-level fault detection, design automation tools, generated test set, gate-level fault coverage, hardware description languages, hardware description languages, behavioral specification
9Timothy John Lambert, Kewal K. Saluja Methods for Dynamic Test Vector compaction in Sequential Test Generation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Luis Entrena-Arrontes, Kwang-Ting Cheng Combinational and sequential logic optimization by redundancy addition and removal. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Soo Young Lee, Kewal K. Saluja Test application time reduction for sequential circuits with scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Michel R. C. M. Berkelaar, Lukas P. P. P. van Ginneken Efficient orthonormality testing for synthesis with pass-transistor selectors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Sujit Dey, Vijay Gangaram, Miodrag Potkonjak A controller-based design-for-testability technique for controller-data path circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Hussain Al-Asaad, John P. Hayes Design verification via simulation and automatic test pattern generation. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test generation, logic simulation, Design verification, error models
9Naveena Nagi, Abhijit Chatterjee, Ashok Balivada, Jacob A. Abraham Efficient multisine testing of analog circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF waveform analysis, biquadratic filters, multisine testing, test waveform generation, test confidence, fault-based automatic test pattern generator, successive gradient method, sinusoidal signals, fault coverage maximization, biquadratic filter, AC testing, analog IC, fault diagnosis, built-in self test, integrated circuit testing, automatic testing, analog circuits, built-in test, analogue integrated circuits, linear analog circuits
9Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for non-scan sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, functional test vectors, growth and disappearance fault model, complete stuck fault coverage, algebraic transformations, synthesized FSMs, VLSI, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, automatic testing, functional test generation
9Jaushin Lee, Janak H. Patel Architectural level test generation for microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Eric Felt, Alberto L. Sangiovanni-Vincentelli Testing of analog systems using behavioral models and optimal experimental design techniques. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Shih-Chieh Chang, Malgorzata Marek-Sadowska Perturb and simplify: multi-level boolean network optimizer. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Hyunwoo Cho, Gary D. Hachtel, Fabio Somenzi Redundancy identification/removal and test generation for sequential circuits using implicit state enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Eun Sei Park, M. Ray Mercer An efficient delay test generation system for combinational logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
9Thomas M. Niermann, Rabindra K. Roy, Janak H. Patel, Jacob A. Abraham Test compaction for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
9Robert C. Aitken Diagnosis of leakage faults with IDDQ. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF leakage fault model, Fault diagnosis, I DDQ testing
9Arno Kunzmann, Hans-Joachim Wunderlich An analytical approach to the partial scan problem. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF partial scan path, sequential test generation, design for testability
9Paolo Camurati, Antonio Lioy, Paolo Prinetto, Matteo Sonza Reorda Diagnosis oriented test pattern generation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
9Christian Jay Experience in functional-level test generation and fault coverage in a silicon compiler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #939 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license