The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for caches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988 (19) 1989 (24) 1990 (15) 1991 (18) 1992 (31) 1993 (30) 1994 (39) 1995 (49) 1996 (53) 1997 (68) 1998 (59) 1999 (102) 2000 (95) 2001 (95) 2002 (144) 2003 (210) 2004 (208) 2005 (219) 2006 (214) 2007 (225) 2008 (198) 2009 (159) 2010 (108) 2011 (73) 2012 (78) 2013 (94) 2014 (97) 2015 (69) 2016 (89) 2017 (87) 2018 (77) 2019 (85) 2020 (57) 2021 (67) 2022 (65) 2023 (35) 2024 (8)
Publication types (Num. hits)
article(849) incollection(4) inproceedings(2475) phdthesis(52)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2974 occurrences of 1216 keywords

Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Shuai Wang 0006, Jie S. Hu, Sotirios G. Ziavras Self-Adaptive Data Caches for Soft-Error Reliability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Aamer Jaleel, William Hasenplaugh, Moinuddin K. Qureshi, Julien Sebot, Simon C. Steely Jr., Joel S. Emer Adaptive insertion policies for managing shared caches. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF set dueling, shared cache, replacement, cache partitioning
25Tanu Malik, Xiaodan Wang, Randal C. Burns, Debabrata Dash, Anastasia Ailamaki Automated physical design in database caches. Search on Bibsonomy ICDE Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Jun Yan 0008, Wei Zhang 0002 WCET Analysis for Multi-Core Processors with Shared L2 Instruction Caches. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Peter Petrov, Alex Orailoglu Dynamic Tag Reduction for Low-Power Caches in Embedded Systems with Virtual Memory. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software-controlled caching, Embedded systems, low-power, memory management, cache organization
25Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlke Compiler-managed partitioned data caches for low power. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware/software co-managed cache, instruction-driven cache management, partitioned cache, low-power, embedded processor
25Sonia López, Steven G. Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Rate-Driven Control of Resizable Caches for Highly Threaded SMT Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Madhu Mutyam, Narayanan Vijaykrishnan Working with process variation aware caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Mrinmoy Ghosh, Hsien-Hsin S. Lee Virtual Exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Sungjune Youn, Hyunhee Kim, Jihong Kim 0001 A reusability-aware cache memory sharing technique for high-performance low-power CMPs with private L2 caches. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors (CMPS), performance, embedded systems, architecture, low-power, L2 cache
25Houman Homayoun, Alexander V. Veidenbaum Reducing leakage power in peripheral circuits of L2 caches. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Fernando Duarte, Fabrício Benevenuto, Virgílio A. F. Almeida, Jussara M. Almeida Locality of Reference in an Hierarchy of Web Caches. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF entropy, Web caching, locality of reference
25Keshavan Varadarajan, S. K. Nandy 0001, Vishal Sharda, Bharadwaj Amrutur, Ravi R. Iyer 0001, Srihari Makineni, Donald Newell Molecular Caches: A caching structure for dynamic creation of application-specific Heterogeneous cache regions. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Georgios Keramidas, Konstantinos Aisopos, Stefanos Kaxiras Dynamic Dictionary-Based Data Compression for Level-1 Caches. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Dinesh C. Suresh, Walid A. Najjar, Jun Yang 0002 Power Efficient Instruction Caches for Embedded Systems. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Won-Ho Park, Andreas Moshovos, Babak Falsafi RECAST: Boosting Tag Line Buffer Coverage in Low-Power High-Level Caches "for Free". Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Robert Bai, Nam Sung Kim, Dennis Sylvester, Trevor N. Mudge Total leakage optimization strategies for multi-level caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, cache memory, gate leakage
25Kimish Patel, Enrico Macii, Massimo Poncino Zero clustering: an approach to extend zero compression to instruction caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clustering, compression, permutation, application-specific
25Peter Petrov, Daniel Tracy, Alex Orailoglu Energy-effcient physically tagged caches for embedded processors with virtual memory. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jiaxin J. Gao, Dallan Quass, Yiu-Kai Ng Selective-Splitting and Cache-Maintenance Algorithms for Associative-Client Caches. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2004 DBLP  DOI  BibTeX  RDF selective splitting, associative-client cache, cache maintenance/behaviors, invalid access prevention
25Chuanjun Zhang, Jun Yang 0002, Frank Vahid Low Static-Power Frequent-Value Data Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Yingmin Li, Dharmesh Parikh, Yan Zhang 0028, Karthik Sankaranarayanan, Mircea R. Stan, Kevin Skadron State-Preserving vs. Non-State-Preserving Leakage Control in Caches. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger Static energy reduction techniques for microprocessor caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Khalil Amiri, Sanghyun Park, Renu Tewari, Sriram Padmanabhan Scalable template-based query containment checking for web semantic caches. Search on Bibsonomy ICDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Bradford M. Beckmann, David A. Wood 0001 TLC: Transmission Line Caches. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Rajeev Balasubramonian, Viji Srinivasan, Sandhya Dwarkadas, Alper Buyuktosunoglu Hot-and-Cold: Using Criticality in the Design of Energy-Efficient Caches. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Xavier Vera, Björn Lisper, Jingling Xue Data Caches in Multitasking Hard Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25George Karakostas, Dimitrios N. Serpanos Exploitation of different types of locality for Web caches. Search on Bibsonomy ISCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Ahmed Amer, Darrell D. E. Long, Randal C. Burns Group-Based Management of Distributed File Caches. Search on Bibsonomy ICDCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25James Giles, Reiner Sailer, Dinesh C. Verma, Suresh Chari Authentication for Distributed Web Caches. Search on Bibsonomy ESORICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Security, Authentication, Distributed Applications, CDN, Cookies
25Se-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Harry Dwyer, John Fernando Establishing a tight bound on task interference in embedded system instruction caches. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Emmanuel Cecchet Parallel Pull-Based LRU: A Request Distribution Algorithm for Clustered Web Caches Using a DSM for Memory Mapped Networks. Search on Bibsonomy CCGRID The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Marian Stanca, Stamatis Vassiliadis, Sorin Cotofana, Henk Corporaal Hashed Addressed Caches for Embedded Pointer Based Codes (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Maged M. Michael, Ashwini K. Nanda Design and Performance of Directory Caches for Scalable Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos An analytical, transistor-level energy model for SRAM-based caches. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Kun-Lung Wu, Philip S. Yu Load Balancing and Hot Spot Relief for Hash Routing among a Collection of Proxy Caches. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF adaptable controlled replication, hot spot relief, CARP, hash routing, load balancing
25Kuang-Chih Liu, Chung-Ta King A Performance Study on Bounteous Transfer in Multiprocessor Sectored Caches. Search on Bibsonomy J. Supercomput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sectored cache, partial block invalidation, multiprocessor, Cache coherence, data prefetching, false sharing
25Yuguang Wu, Richard R. Muntz Stack Evaluation of Arbitrary Set-Associative Multiprocessor Caches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coherence by invalidation, stack evaluation, simulation, Cache memory, set-associative
25Jeffrey D. Gee, Alan Jay Smith The effectiveness of caches for vector processors. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
25Senthil Krishnamoorthy, Alok N. Choudhary An Evaluation of Set-Associativity in Two-Level Caches for Shared Memory Multiprocessors. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
25Urs Hölzle, Craig Chambers, David M. Ungar Optimizing Dynamically-Typed Object-Oriented Languages With Polymorphic Inline Caches. Search on Bibsonomy ECOOP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25James R. Goodman Coherency for Multiprocessor Virtual Address Caches. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
25Ramya Prabhakar, Shekhar Srikantaiah, Mahmut T. Kandemir, Christina M. Patrick Adaptive multi-level cache allocation in distributed storage architectures. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF SLO, I/O, multi-server, multi-level, storage cache
25Enric Herrero, José González 0002, Ramon Canal Elastic cooperative caching: an autonomous dynamically adaptive memory hierarchy for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF elastic cooperative caching, tiled microarchitectures, chip multiprocessors, memory hierarchy
25Amin Ansari, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke ZerehCache: armoring cache architectures in high defect density technologies. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, process variation, manufacturing yield
25Yu Chen, Wenlong Li, Changkyu Kim, Zhizhong Tang Efficient shared cache management through sharing-aware replacement and streaming-aware insertion policy. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Xiaoxia Wu, Jian Li 0059, Lixin Zhang 0002, Evan Speight, Ramakrishnan Rajamony, Yuan Xie 0001 Hybrid cache architecture with disparate memory technologies. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hybrid cache architecture, three-dimensional ic
25Jason Zebchuk, Srihari Makineni, Donald Newell Re-examining cache replacement policies. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Rakesh Reddy, Peter Petrov Eliminating inter-process cache interference through cache reconfigurability for real-time and low-power embedded multi-tasking systems. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time embedded systems, cache interference
25Ahmad Zmily, Christos Kozyrakis A low power front-end for embedded processors using a block-aware instruction set. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching
25Koustav Bhattacharya, Soontae Kim, Nagarajan Ranganathan Improving the reliability of on-chip L2 cache using redundancy. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Manish Verma, Peter Marwedel Overlay techniques for scratchpad memories in low power embedded processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Michele Co, Dee A. B. Weikle, Kevin Skadron Evaluating trace cache energy efficiency. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fetch engine energy efficiency, Trace cache
25Vilas Sridharan, Hossein Asadi 0001, Mehdi Baradaran Tahoori, David R. Kaeli Reducing Data Cache Susceptibility to Soft Errors. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF refresh, refetch, Fault tolerance, reliability, cache memories, soft errors, error modeling
25Aamer Jaleel, Matthew Mattina, Bruce L. Jacob Last level cache (LLC) performance of data mining workloads on a CMP - a case study of parallel bioinformatics workloads. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Badrish Chandramouli, Jun Yang 0001, Amin Vahdat Distributed Network Querying with Bounded Approximate Caching. Search on Bibsonomy DASFAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Harini Ramaprasad, Frank Mueller 0001 Tightening the Bounds on Feasible Preemption Points. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Harini Ramaprasad, Frank Mueller 0001 Bounding Preemption Delay within Data Cache Reference Patterns for Real-Time Tasks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Jichuan Chang, Gurindar S. Sohi Cooperative Caching for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Yue Luo, Lizy Kurian John, Lieven Eeckhout SMA: A Self-Monitored Adaptive Cache Warm-Up Scheme for Microprocessor Simulation. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Microprocessor simulation, cache warm-up, simulation time reduction, sampling
25Jan Staschulat, Rolf Ernst Scalable precision cache analysis for preemptive scheduling. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, embedded systems, cache, worst case execution time analysis
25Lars Wehmeyer, Peter Marwedel Influence of Memory Hierarchies on Predictability for Time Constrained Embedded Software. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Theo Härder Caching over the Entire User-to-Data Path in the Internet. Search on Bibsonomy Data Management in a Connected World The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Sujaa Rani Mohan, E. K. Park, Yijie Han Association Rule-Based Data Mining Agents for Personalized Web Caching. Search on Bibsonomy COMPSAC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Paul Morgan, Richard Taylor, Japheth Hossell, George Bruce, Barry O'Rourke Automated data cache placement for embedded VLIW ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache, ASIP, cache optimization, embedded applications
25Chuanjun Zhang An efficient direct mapped instruction cache for application-specific embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient cache design, instruction cache, low power cache
25Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt Compilation techniques for energy reduction in horizontally partitioned cache architectures. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XScale, horizontally-partitioned cache, mini-cache, split cache, compiler, energy, data cache
25Harini Ramaprasad, Frank Mueller 0001 Bounding Worst-Case Data Cache Behavior by Analytically Deriving Cache Reference Patterns. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras Implementing branch-predictor decay using quasi-static memory cells. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Energy aware computing
25Muhamed F. Mudawar Scalable cache memory design for large-scale SMT architectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scalable multiported cache memory, simultaneous multithreaded architectures
25Arindam Mallik, Gokhan Memik A Case for Clumsy Packet Processors. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Chunrong Lai, Shih-Lien Lu Efficient Victim Mechanism on Sector Cache Organization. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Cache Filtering Techniques to Reduce the Negative Impact of Useless Speculative Memory References on Processor Performance. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Yue Luo, Lizy Kurian John, Lieven Eeckhout Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Ed T. Upchurch, Thomas L. Sterling, Jay B. Brockman Analysis and Modeling of Advanced PIM Architecture Design Tradeoffs. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Shubhendu S. Mukherjee, Joel S. Emer, Tryggve Fossum, Steven K. Reinhardt Cache Scrubbing in Microprocessors: Myth or Necessity? Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Gokhan Memik, Glenn Reinman, William H. Mangione-Smith Just Say No: Benefits of Early Cache Miss Determinatio. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Mohan G. Kabadi, Ranjani Parthasarathi Live-Cache: Exploiting Data Redundancy to Reduce Leakage Energy in a Cache Subsystem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Ashutosh S. Dhodapkar, James E. Smith 0001 Managing Multi-Configuration Hardware via Dynamic Working Set Analysis. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Yiming Hu, Qing Yang 0001, Tycho Nightingale RAPID-Cache - A Reliable and Inexpensive Write Cache for Disk I/O Systems. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Renu Tewari, Michael Dahlin, Harrick M. Vin, Jonathan S. Kay Design Considerations for Distributed Caching on the Internet. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF WWW, caching, cooperative caching, hint, hierarchical caching
25Erik Hagersten, Mats Grindal, Anders Landin, Ashley Saulsbury, Bengt Werner, Seif Haridi Simulating the Data Diffusion Machine. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
25Feipei Lai, Chyuan-Yow Wu, Tai-Ming Parng A memory management unit and cache controller for the MARS system. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
25Tam M. Nguyen, Vason P. Srini, Alvin M. Despain A two-tier memory architecture for high-performance multiprocessor systems. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF Prolog, Prolog, CRAY X-MP
20Frank McCown, Michael L. Nelson Usage analysis of a public website reconstruction tool. Search on Bibsonomy JCDL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF search engine caches, digital preservation, web archiving
20Zhiyuan Li 0001 Simultaneous Minimization of Capacity and Conflict Misses. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF array padding, caches, optimizing compilers, loop transformation
20Wenlong Li, Eric Q. Li, Aamer Jaleel, Jiulong Shan, Yurong Chen 0001, Qigang Wang, Ravi R. Iyer 0001, Ramesh Illikkal, Yimin Zhang 0002, Dong Liu, Michael Liao, Wei Wei, Jinhua Du Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM caches, small-scale CMP, medium-scale CMP, large-scale CMP, hardware-software co-simulation, terabyte-level workloads, multithreaded data mining applications, cache design, memory performance, multicore systems, memory system performance
20Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparing memory systems for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, chip multiprocessors, locality optimizations, coherent caches
20Kamen Yotov, Keshav Pingali, Paul Stodghill Automatic measurement of memory hierarchy parameters. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware parameters, optimization, caches, measurement, memory hierarchy, autonomic systems, self-tuning, micro-benchmarks
20G. Edward Suh, Larry Rudolph, Srinivas Devadas Dynamic Partitioning of Shared Cache Memory. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP and SMT, shared caches, cache partitioning
20Kaustubh Patil, Kiran Seth, Frank Mueller 0001 Compositional static instruction cache simulation. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, caches, worst-case execution time
20Tony Givargis, Frank Vahid, Jörg Henkel Instruction-based System-level Power Evaluation of System-On-A-Chip Peripheral Cores. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon platforms, caches, low-power design, estimation, System-on-a-chip, intellectual property, cores, system parameters
20Karlheinz Weiß, Thorsten Steckstor, Wolfgang Rosenstiel Performance Analysis of a RTOS by Emulation of an Embedded System. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VxWorks, SPYDER-CORE-P1, embedded system, caches, emulation, microcontroller, real-time operating system, RTOS, ASI
20Georg Färber, Franz Fischer, Thomas Kolloch, Annette Muth Improving processor utilization with a task classification model based application specific hard real-time architecture. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF task classification model, application specific hard real-time architecture, real-time architecture, target architecture framework, tightly coupled heterogeneous multiprocessor system, rapid prototyping platform, caches, pipelines, microprocessors, templates, schedulability analysis, execution times, software prototyping, hard real time systems, processor utilization
Displaying result #201 - #300 of 3380 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license