The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27S. A. Senouci, Aadil Amoura, Helena Krupnova, Gabriele Saucier Timing Driven Floorplanning on Programmable Hierarchical Targets. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Hans Eisenmann, Frank M. Johannes Generic Global Placement and Floorplanning. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF verification, timing, design methodology, microprocessor
27John D. Gabbe, P. A. Subrahmanyam A Note on Clustering Modules for Floorplanning. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27Somchai Prasitjutrakul, William J. Kubitz Path-Delay Constrained Floorplanning: A Mathematical Programming Approach for Initial Placement. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27Hiroyuki Watanabe, Bryan D. Ackland Flute - a floorplanning agent for full custom VLSI design. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
26Juan C. Quiroz, Amit Banerjee, Sushil J. Louis IGAP: interactive genetic algorithm peer to peer. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF collaboration, peer to peer network, floorplanning, interactive genetic algorithm
26Jackey Z. Yan, Chris Chu DeFer: deferred decision making enabled fixed-outline floorplanner. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF deferred decision making, floorplanning, fixed outline
26Mark Po-Hung Lin, Shyh-Chang Lin Analog placement based on hierarchical module clustering. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF analog placement, floorplanning
26Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
26Kristofer Vorwerk, Andrew A. Kennings, Doris T. Chen, Laleh Behjat Floorplan repair using dynamic whitespace management. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI, placement, floorplanning, legalization
26Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran Solving hard instances of floorplacement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplacement, benchmarks, placement, floorplanning, RTL, circuit layout
26Jarrod A. Roy, David A. Papa, Aaron N. Ng, Igor L. Markov Satisfying whitespace requirements in top-down placement. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design, floorplanning
26Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim Decoupling capacitor planning and sizing for noise and leakage reduction. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction
26Jin Guo 0001, Antonis Papanikolaou, Pol Marchal, Francky Catthoor Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF segmented bus, floorplanning, trade-offs
26Jill H. Y. Law, Evangeline F. Y. Young, Royce L. S. Ching Block alignment in 3D floorplan using layered TCG. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, block alignment
26Michael D. Moffitt, Aaron N. Ng, Igor L. Markov, Martha E. Pollack Constraint-driven floorplan repair. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF constraints, floorplanning, legalization
26Dian Zhou, Ruiming Li Design and Verification of High-Speed VLSI Physical Design. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floorplanning and placement, order reduction, parameter extraction, VLSI, delay, interconnect, power, physical design, buffer insertion, power grid, clock distribution, wire sizing
26Jarrod A. Roy, David A. Papa, Saurabh N. Adya, Hayward H. Chan, Aaron N. Ng, James F. Lu, Igor L. Markov Capo: robust and scalable open-source min-cut floorplacer. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, physical design, floorplanning
26Yen-Wei Wu, Chia-Lin Yang, Ping-Hung Yuh, Yao-Wen Chang Joint exploration of architectural and physical design spaces with thermal consideration. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architectural floorplanning, performance, thermal
26Taraneh Taghavi, Soheil Ghiasi, Abhishek Ranjan, Salil Raje, Majid Sarrafzadeh Innovate or perish: FPGA physical design. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, partitioning, placement, physical design, floorplanning, delay estimation, routing architecture
26Reinaldo A. Bergamaschi Early and accurate analysis of SoCs: oxymoron or real? Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, power, design space exploration, floorplanning, design analysis
26Reinaldo A. Bergamaschi, Youngsoo Shin, Nagu R. Dhanwada, Subhrajit Bhattacharya, William E. Dougherty, Indira Nair, John A. Darringer, Sarala Paliwal SEAS: a system for early analysis of SoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance, power, design space exploration, floorplanning, design analysis
26Parthasarathi Dasgupta, Susmita Sur-Kolay Slicible rectangular graphs and their optimal floorplans. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF graph dualization, nonslicible floorplans, slicible floorplans, heuristic search, planar graphs, Floorplanning
26Yangdong Deng, Wojciech Maly Interconnect characteristics of 2.5-D system integration scheme. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 2.5-D system integration, bounded sliceline grid, VLSI, partition, placement, floorplanning, wirelength
26Dinesh P. Mehta, Naveed A. Sherwani On the use of flexible, rectilinear blocks to obtain minimum-area floorplans in mixed block and cell designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF mixed block and cell designs, floorplanning, rectilinear polygons
26Helena Krupnova, Gabriele Saucier FPGA Technology Snapshot: Current Devices and Design Tools. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Configurable Logic Block (CLB), System on a Programmable Chip (SOPC), FPGAs, routing, synthesis, placement, Rapid prototyping, floorplanning, timing optimization, macro block
26Claudia I. Horta, José A. Lima Slicing and non-slicing, unified and rotation independent, algebraic representation of floorplans. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF floorplan area optimization problem, rectangle envelope, nonoverlapping basic rectangles, floorplan topology, formal algebraic specification, SETS notation, VLSI physical design layout, module dimensions, arbitrarily complex composite floorplans, rotation-invariant single-expression formalism, generalized wheels floorplans, slicing representation, nonslicing representation, unified representation, topology-dimensionless description, floorplanning problem algorithms, algebraic specification, line segments, relative positioning
26Kai-Yuan Chao, D. F. Wong 0001 Signal integrity optimization on the pad assignment for high-speed VLSI design. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pad assignment, simultaneous swiching Noise, floorplanning, crosstalk, signal integrity, packaging
26Alexander Dalal, Lavi Lev, Sundari Mitra Design of an efficient power distribution network for the UltraSPARC-I microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer power supplies, UltraSPARC-I, simulation method, exact layout locations, excessive voltage drop, floorplanning constraints, power interconnections, reduced time-to-market, circuit analysis computing, circuit layout CAD, microprocessor chips, electromigration, CAD tools, power distribution network
20Dipanjan Sengupta, Resve A. Saleh Application-driven floorplan-aware voltage island design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic programming, energy, floorplan, voltage island
20Jin Guo 0001, Antonis Papanikolaou, H. Zhang, Francky Catthoor Energy/Area/Delay Tradeoffs in the Physical Design of On-Chip Segmented Bus Architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Chen-Wei Liu, Yao-Wen Chang Power/Ground Network and Floorplan Cosynthesis for Fast Design Convergence. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Andrew B. Kahng, Ion I. Mandoiu, Xu Xu 0001, Alexander Zelikovsky Enhanced Design Flow and Optimizations for Multiproject Wafers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Gi-Joon Nam, Mehmet Can Yildiz, David Z. Pan, Patrick H. Madden ISPD placement contest updates and ISPD 2007 global routing contest. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Domenik Helms, Olaf Meyer, Marko Hoyer, Wolfgang Nebel Voltage- and ABB-island optimization in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, process variation, leakage, voltage islands
20Vyas Krishnan, Srinivas Katkoori A 3D-Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ali Jahanian 0001, Morteza Saheb Zamani Multi-Level Buffer Block Planning and Buffer Insertion for Large Design Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Buffer planning, incremental placement, buffer insertion
20Love Singhal, Elaheh Bozorgzadeh Physically-aware exploitation of component reuse in a partially reconfigurable architecture. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Krishnan Srinivasan, Karam S. Chatha Layout aware design of mesh based NoC architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, automated design, mesh topology
20Chuan Lin 0002, Hai Zhou 0001, Chris C. N. Chu A revisit to floorplan optimization by Lagrangian relaxation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplan, Lagrangian relaxation
20Chris C. N. Chu, Evangeline F. Y. Young Nonrectangular shaping and sizing of soft modules for floorplan-design improvement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Jai-Ming Lin, Yao-Wen Chang, Shih-Ping Lin 0001 Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ansgar Stammermann, Domenik Helms, Milan Schulte, Arne Schulz, Wolfgang Nebel Interconnect Driven Low Power High-Level Synthesis. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20François Rémond, Pierre Bricaud Set Top Box SoC Design Methodology at STMicroelectronics. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Stelian Alupoaei, Srinivas Katkoori Net-based force-directed macrocell placement for wirelength optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Thomas Brandtner, Robert Weigel Hierarchical Simulation of Substrate Coupling in Mixed-Signal ICs Considering the Power Supply Network. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Evangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang Slicing floorplans with range constraint. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Shantanu Tarafdar, Miriam Leeser A data-centric approach to high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Koji Ohashi, Mineo Kaneko, Satoshi Tayu Assignment-Space Exploration Approach to Concurrent Data-Path/Floorplan Synthesis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Rajnish K. Prasad, Israel Koren The Effect of Placement on Yield for Standard Cell Designs. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17De-Xuan Zou, Gai-Ge Wang, Arun Kumar Sangaiah, Xiangyong Kong A memory-based simulated annealing algorithm and a new auxiliary function for the fixed-outline floorplanning with soft blocks. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Yiting Liu, Hai Zhou 0001, Jia Wang 0003, Fan Yang 0001, Xuan Zeng 0001, Li Shang Hierarchical Graph Learning-Based Floorplanning With Dirichlet Boundary Conditions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Dayasagar Chowdary S, M. S. Sudhakar 0001 Linear programming-based multi-objective floorplanning optimization for system-on-chip. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Jian Sun, Huabin Cheng, Jian Wu, Zhanyang Zhu, Yu Chen 0001 Floorplanning of VLSI by Mixed-Variable Optimization. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17I-Lun Tseng Challenges in Floorplanning and Macro Placement for Modern SoCs. Search on Bibsonomy ISPD The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Zehua Fang, Jinglin Han, Huaxinyu Wang Deep reinforcement learning assisted reticle floorplanning with rectilinear polygon modules for multiple-project wafer. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17B. Srinivasan, R. Venkatesan 0002, Belqasem Aljafari, Ketan Kotecha, V. Indragandhi, Subramaniyaswamy Vairavasundaram A Novel Multicriteria Optimization Technique for VLSI Floorplanning Based on Hybridized Firefly and Ant Colony Systems. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Wenbo Guan, Xiaoyan Tang, Hongliang Lu, Yuming Zhang, Yimen Zhang Thermal-Aware Fixed-Outline 3-D IC Floorplanning: An End-to-End Learning-Based Approach. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Wenbo Guan, Xiaoyan Tang, Hongliang Lu, Yuming Zhang, Yimen Zhang A Novel Thermal-Aware Floorplanning and TSV Assignment With Game Theory for Fixed-Outline 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jianwen Luo 0004, Xinzhe Liu, Fupeng Chen, Yajun Ha HRFF: Hierarchical and Recursive Floorplanning Framework for NoC-Based Scalable Multidie FPGAs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ximeng Li 0005, Keyu Peng, Fuxing Huang, Wenxing Zhu PeF: Poisson's Equation-Based Large-Scale Fixed-Outline Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Naorem Yaipharenba Meitei, Krishna Lal Baishnab, Gaurav Trivedi Fast power density aware three-dimensional integrated circuit floorplanning for hard macroblocks using best operator combination genetic algorithm. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Dayasagar Chowdary S, M. S. Sudhakar 0001 Multi-objective Floorplanning optimization engaging dynamic programming for system on chip. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bo Ding, Jinglei Huang, Qi Xu, Junpeng Wang, Song Chen 0001, Yi Kang Memory-aware Partitioning, Scheduling, and Floorplanning for Partially Dynamically Reconfigurable Systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bo Ding, Jinglei Huang, Junpeng Wang, Qi Xu, Song Chen 0001, Yi Kang Task Modules Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems with Heterogeneous Resources. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yiting Liu, Ziyi Ju, Zhengming Li, Mingzhi Dong, Hai Zhou 0001, Jia Wang 0003, Fan Yang 0001, Xuan Zeng 0001, Li Shang GraphPlanner: Floorplanning with Graph Neural Network. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Shan Yu, Yair Censor, Ming Jiang 0001, Guojie Luo Per-RMAP: Feasibility-Seeking and Superiorization Methods for Floorplanning with I/O Assignment. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Christodoulos Peltekis, Dionysios Filippas, Giorgos Dimitrakopoulos, Chrysostomos A. Nicopoulos The Case for Asymmetric Systolic Array Floorplanning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ignacio Arnaldo, Alfredo Cuesta-Infante, J. Manuel Colmenar, José L. Risco-Martín, José L. Ayala Boosting the 3D thermal-aware floorplanning problem through a master-worker parallel MOEA. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yuanyuan Duan, Xingchen Liu, Zhiping Yu, Hanming Wu, Leilai Shao, Xiaolei Zhu RLPlanner: Reinforcement Learning based Floorplanning for Chiplets with Fast Thermal Analysis. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ke Liu, Jian Gu, Hao Gu, Ziran Zhu A Hybrid Reinforcement Learning and Genetic Algorithm for VLSI Floorplanning. Search on Bibsonomy ICMLC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Chung-Chia Lee, Yao-Wen Chang Floorplanning for Embedded Multi-Die Interconnect Bridge Packages. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Fuxing Huang, Duanxiang Liu, Xingquan Li, Bei Yu 0001, Wenxing Zhu Handling Orientation and Aspect Ratio of Modules in Electrostatics-Based Large Scale Fixed-Outline Floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Honghong Long, Yu Bai, Yanze Li, Jian Wang, Jinmei Lai Optimizing Wirelength And Delay of FPGA Tile through Floorplanning Based on Simulated Annealing Algorithm. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Wei Li, Fangzhou Wang, José M. F. Moura, R. D. (Shawn) Blanton Global Floorplanning via Semidefinite Programming. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17J. Shanthi, D. Gracia Nirmala Rani, S. Rajaram 0001 An Enhanced Memetic Algorithm using SKB tree representation for fixed-outline and temperature driven non-slicing floorplanning. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Qi Xu, Hao Geng, Song Chen 0001, Bo Yuan 0006, Cheng Zhuo, Yi Kang, Xiaoqing Wen GoodFloorplan: Graph Convolutional Network and Reinforcement Learning-Based Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Suchandra Banerjee, Suchismita Roy Power aware floorplanning in multiple supply voltage domain. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Shimin Du, Yang Runping, Yuejun Zhang, Yu Shenglu A stable voltage island-driven floorplanning with fixed-outline constraint for low power SoC. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17J. Shanthi, D. Gracia Nirmala Rani, S. Rajaram 0001 A C4.5 decision tree classifier based floorplanning algorithm for System-on-Chip design. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Ximeng Li 0005, Keyu Peng, Fuxing Huang, Wenxing Zhu PeF: Poisson's Equation Based Large-Scale Fixed-Outline Floorplanning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Bo Ding, Jinglei Huang, Junpeng Wang, Qi Xu, Song Chen 0001, Yi Kang Task modules Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems Based on Modern Heterogeneous FPGAs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Danielle Tchuinkou Kwadjo, Erman Nghonda Tchinda, Christophe Bobda Coarse-Grained Floorplanning for streaming CNN applications on Multi-Die FPGAs. Search on Bibsonomy ISPDC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yi-Feng Chang, Chuan-Kang Ting Multiple Crossover and Mutation Operators Enabled Genetic Algorithm for Non-slicing VLSI Floorplanning. Search on Bibsonomy CEC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Summer Yue, Ebrahim M. Songhori, Joe Wenjie Jiang, Toby Boyd, Anna Goldie, Azalia Mirhoseini, Sergio Guadarrama Scalability and Generalization of Circuit Training for Chip Floorplanning. Search on Bibsonomy ISPD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Haozhe Xu, Siyuan Wei, Nan Qi, Peng Wu, Jian Liu 0021, Nanjian Wu, Liyuan Liu, Shuangming Yu Floorplanning and Power/Ground Network Design for A Programmable Vision Chip. Search on Bibsonomy ICTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yang Hsu, Min-Hsuan Chung, Yao-Wen Chang, Ci-Hong Lin Transitive Closure Graph-Based Warpage-Aware Floorplanning for Package Designs. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jianwen Luo 0004, Xinzhe Liu, Fupeng Chen, Yajun Ha Hierarchical and Recursive Floorplanning Algorithm for NoC-Bascd Scalable Multi-Die FPGAs. Search on Bibsonomy APCCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Yiting Liu, Ziyi Ju, Zhengming Li, Mingzhi Dong, Hai Zhou 0001, Jia Wang 0003, Fan Yang 0001, Xuan Zeng 0001, Li Shang Floorplanning with graph attention. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Pengli Ji, Kun He 0001, Zhengli Wang, Yan Jin 0005, Jigang Wu A Quasi-Newton-based Floorplanner for fixed-outline floorplanning. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Wei-Yi Chang, Hao-Yuan Hsieh, Ya-Ting Shyu, Yeong-Jar Chang, Juin-Ming Lu Thermal-Aware Floorplanning and TSV-Planning for Mixed-Type Modules in a Fixed-Outline 3-D IC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Tai-Ting Chen, Hao-Yuan Hsieh, Ya-Ting Shyu, Yeong-Jar Chang, Juin-Ming Lu Thermal-Aware Fixed-Outline Floorplanning Using Analytical Models With Thermal-Force Modulation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Nadir Khan, Jorge Castro-Godínez, Shixiang Xue, Jörg Henkel, Jürgen Becker 0001 Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sucheta Mohapatra, Satya K. Vendra, Malgorzata Chrzanowska-Jeske Fast Buffer Count Estimation in 3D IC Floorplanning. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Suchandra Banerjee, Suchismita Roy Thermal-Driven Floorplanning for Fixed Outline Layouts. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jinyu Wang, Yifei Kang, Weiguo Wu, Guoliang Xing, Linlin Tu DUPRFloor: Dynamic Modeling and Floorplanning for Partially Reconfigurable FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license