The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1987 (15) 1988-1989 (16) 1990 (22) 1991-1992 (24) 1993 (15) 1994 (23) 1995 (38) 1996 (38) 1997 (31) 1998 (45) 1999 (39) 2000 (51) 2001 (32) 2002 (46) 2003 (40) 2004 (43) 2005 (60) 2006 (59) 2007 (79) 2008 (56) 2009 (38) 2010 (19) 2011 (18) 2012-2013 (24) 2014 (22) 2015 (19) 2016 (20) 2017 (20) 2018-2019 (30) 2020 (25) 2021-2022 (39) 2023 (16) 2024 (4)
Publication types (Num. hits)
article(309) data(1) incollection(3) inproceedings(749) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 838 occurrences of 477 keywords

Results
Found 1066 publication records. Showing 1066 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Jason A. Blome, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Self-calibrating Online Wearout Detection. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ravi Varadarajan Convergence of placement technology in physical synthesis: is placement really a point tool? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Xiaoming Yu, Alessandro Fin, Franco Fummi, Elizabeth M. Rudnick A Genetic Testing Framework for Digital Integrated Circuits. Search on Bibsonomy ICTAI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Alessandro Bogliolo, Luca Benini, Giovanni De Micheli Adaptive least mean square behavioral power modeling. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Franco Fummi, Mariagiovanna Sami, F. Tartarini Use of Statecharts-Related Description to Achieve Testable Design of Control Subsystems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Sachin S. Sapatnekar, Rahul B. Deokar Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Terry Lee, Weitong Chuang, Ibrahim N. Hajj, W. Kent Fuchs Circuit-level dictionaries of CMOS bridging faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Irith Pomeranz, Sudhakar M. Reddy On achieving complete fault coverage for sequential machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Niraj K. Jha Strong fault-secure and strongly self-checking domino-CMOS implementations of totally self-checking circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Magdy S. Abadir, Jing Zeng, Carol Pyron, Juhong Zhu Automated Test Model Generation from Switch Level Custom Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Uwe Gläser, Heinrich Theodor Vierhaus Mixed level test generation for synchronous sequential circuits using the FOGBUSTER algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Debashis Bhattacharya, John P. Hayes A hierarchical test generation methodology for digital circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF high-level circuit models, test generation, fault modeling, digital circuits, hierarchical testing
15Lijuan Zhu, Gilbert Chen, Boleslaw K. Szymanski, Carl Tropper, Tong Zhang 0002 Parallel Logic Simulation of Million-Gate VLSI Circuits. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jonathan R. Carter, Sule Ozev, Daniel J. Sorin Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang Verification of asynchronous interface circuits with bounded wire delays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Cho W. Moon, Paul R. Stephan, Robert K. Brayton Specification, synthesis, and verification of hazard-free asynchronous circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Peter M. Maurer, Alexander D. Schapira A logic-to-logic comparator for VLSI layout verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Anmol Mathur, Qi Wang Power Reduction Techniques and Flows at RTL and System Level. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao Efficient Sequential ATPG for Functional RTL Circuits. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Silvia Chiusano, Fulvio Corno, Paolo Prinetto RT-level TPG Exploiting High-Level Synthesis Information. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Bapiraju Vinnakota, Jason Andrews Fast fault translation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Samvel K. Shoukourian A Unified Design Methodology for Offline and Online Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Subhrajit Bhattacharya, Sujit Dey, Franc Brglez Fast true delay estimation during high level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Reiner Hähnle, Werner Kernig Verification of Switch-Level Designs with Many-Valued Logic. Search on Bibsonomy LPAR The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Tyh-Song Hwang, Chung Len Lee 0001, Wen-Zen Shen, Ching Ping Wu A Parallel Pattern Mixed-Level Fault Simulator. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Michal Bidlo, Zdenek Vasícek Development of combinational circuits using non-uniform cellular automata: initial results. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF evolutionary algorithm, combinational circuit, development, cellular automaton
14Konrad J. Kulikowski, Vyas Venkataraman, Zhen Wang 0001, Alexander Taubin, Mark G. Karpovsky Asynchronous balanced gates tolerant to interconnect variability. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Shuguang Zhao, Licheng Jiao Multi-objective evolutionary design and knowledge discovery of logic circuits based on an adaptive genetic algorithm. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Evolutionary design of circuits, Knowledge discovery, Evolvable hardware, Multi-objective genetic algorithm, Adaptive genetic algorithm
14Francisco-Javier Veredas, Michael Scheppler, Hans-Jörg Pfleiderer Automated conversion from a LUT-based FPGA to a LUT-based MPGA with fast turnaround time. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Wai Shing Lau, Kin-Hong Lee, Kwong-Sak Leung A hybridized genetic parallel programming based logic circuit synthesizer. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FlowMap, a hybridized genetic parallel programming logic circuit synthesizer, genetic parallel programming, field programmable gate array, technology mapping, LookUp table
14Ashok K. Murugavel, N. Ranganathan Petri net modeling of gate and interconnect delays for power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Peter M. Maurer Efficient event-driven simulation by exploiting the output observability of gate clusters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Lu Xiao 0003, Howard M. Heys Hardware Design and Analysisof Block Cipher Components. Search on Bibsonomy ICISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Florentin Dartu, Lawrence T. Pileggi Calculating Worst-Case Gate Delays Due to Dominant Capacitance Coupling. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Michele Favalli, Piero Olivo, Maurizio Damiani, Bruno Riccò Fault simulation of unconventional faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
14Masayuki Miyoshi, Yoshiharu Kazama, Osamu Tada, Yasuo Nagura, Nobutaka Amano Speed up techniques of logic simulation. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF logic design, logic simulation, design verification
14Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi Error Tolerance of DNA Self-Healing Assemblies by Puncturing. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yu-Shen Yang, Andreas G. Veneris, Paul J. Thadikaran, Srikanth Venkataraman Extraction error modeling and automated model debugging in high-performance custom designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Xiushan Feng, Alan J. Hu Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cutpoints, formal equivalence checking, software, RTL
14Eduardas Bareisa, Vacius Jusas, Kestutis Motiejunas, Rimantas Seinauskas Functional Test Generation Remote Tool. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Kai-Hui Chang, Jeh-Yen Kang, Han-Wei Wang, Wei-Ting Tu, Yi-Jong Yeh, Sy-Yen Kuo Automatic Partitioner for Behavior Level Distributed Logic Simulation. Search on Bibsonomy FORTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RTL level partitioner, behavior level partitioner, distributed simulation, parallel simulation
14Oskar Mencer PAM-Blox II: Design and Evaluation of C++ Module Generation for Computing with FPGAs. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero Automatic Test Program Generation from RT-Level Microprocessor Descriptions. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Wendy Belluomini, Chris J. Myers, H. Peter Hofstee Timed circuit verification using TEL structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero Effective Techniques for High-Level ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero ARPIA: A High-Level Evolutionary Test Signal Generator. Search on Bibsonomy EvoWorkshops The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Pradip A. Thaker, Mona E. Zaghloul, Minesh B. Amin Study of Correlation of Testability Aspects of RTL Description and Resulting Structural Implementations. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Vinod Narayanan, Barbara A. Chappell, Bruce M. Fleischer Static timing analysis for self resetting circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Madhukar K. Reddy, Sudhakar M. Reddy, Prathima Agrawal Transistor level test generation for MOS circuits. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
13Ryotaro Negishi, Tatsuki Kurihara, Nozomu Togawa Hardware-Trojan Detection at Gate-Level Netlists Using a Gradient Boosting Decision Tree Model and Its Extension Using Trojan Probability Propagation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Dmytro Mishagli, Eugene Koskin, Elena Blokhina Gate-Level Statistical Timing Analysis: Exact Solutions, Approximations and Algorithms. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ryotaro Negishi, Nozomu Togawa Evaluation of Ensemble Learning Models for Hardware-Trojan Identification at Gate-level Netlists. Search on Bibsonomy ICCE The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Rasheed Kibria, Farimah Farahmandi, Mark M. Tehranipoor FSMx-Ultra: Finite State Machine Extraction From Gate-Level Netlist for Security Assessment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Dong Chen, Chen Dong 0002, Wenwu He, Zhenyi Chen, Ximeng Liu, Hao Zhang A fine-grained detection method for gate-level hardware Trojan base on bidirectional Graph Neural Networks. Search on Bibsonomy J. King Saud Univ. Comput. Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Quentin Delhaye, Eric Beyne, Joël Goossens, Geert Van der Plas, Dragomir Milojevic Impact of gate-level clustering on automated system partitioning of 3D-ICs. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Quentin Delhaye, Eric Beyne, Joël Goossens, Geert Van der Plas, Dragomir Milojevic Impact of gate-level clustering on automated system partitioning of 3D-ICs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hazem Lashen, Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Weijie Fang, Yanggeng Fu, Jiaquan Gao, Longkun Guo, Gregory Z. Gutin, Xiaoyan Zhang 0001 Acceleration for Timing-Aware Gate-Level Logic Simulation with One-Pass GPU Parallelism. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Rui Cheng, Lin-Zi Yin, Zhao-Hui Jiang, Xue-Mei Xu Gate-Level Circuit Partitioning Algorithm Based on Clustering and an Improved Genetic Algorithm. Search on Bibsonomy Entropy The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Georg Land, Adrian Marotzke, Jan Richter-Brockmann, Tim Güneysu Gate-Level Masking of Streamlined NTRU Prime Decapsulation in Hardware. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2023 DBLP  BibTeX  RDF
13Haichuan Hu, Zichen Xu 0001, Yuhao Wang, Fangming Liu Fast and Scalable Gate-Level Simulation in Massively Parallel Systems. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Nils Quetschlich, Lukas Burgholzer, Robert Wille Reducing the Compilation Time of Quantum Circuits Using Pre-Compilation on the Gate Level. Search on Bibsonomy QCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Peiheng Zhan, Haihua Shen, Shan Li, Huawei Li BGNN-HT: Bidirectional Graph Neural Network for Hardware Trojan Cells Detection at Gate Level. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Hazem Lashen, Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Amartuvshin Bayasgalan, Makoto Ikeda A Design of BNN Accelerator using Gate-level Pipelined Self-Synchronous Circuit. Search on Bibsonomy ICICDT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Sofiane Takarabt, Javad Bahrami, Mohammad Ebrahimabadi, Sylvain Guilley, Naghmeh Karimi Security Order of Gate-Level Masking Schemes. Search on Bibsonomy HOST The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Zizheng Guo, Zuodong Zhang, Xun Jiang, Wuxi Li, Yibo Lin, Runsheng Wang, Ru Huang General-Purpose Gate-Level Simulation with Partition-Agnostic Parallelism. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ignacio M. Delgado-Lozano, Erica Tena-Sánchez, Juan Núñez 0002, Antonio J. Acosta 0001 Gate-Level Design Methodology for Side-Channel Resistant Logic Styles Using TFETs. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Hassan Salmani Gradual-N-Justification (GNJ) to Reduce False-Positive Hardware Trojan Detection in Gate-Level Netlist. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Naveen Kumar Macha, Bhavana Tejaswini Repalle, Md Arif Iqbal, Mostafizur Rahman Crosstalk-Computing-Based Gate-Level Reconfigurable Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Zhao Huang, Changjian Xie, Zeyu Li, Maofan Du, Quan Wang 0006 A Hardware Trojan Detection and Diagnosis Method for Gate-Level Netlists Based on Different Machine Learning Algorithms. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Naeem Maroof, Ali Y. Al-Zahrani A Double Bit Approximate Adder Providing a New Design Perspective for Gate-Level Design. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Lilas Alrahis, Abhrajit Sengupta, Johann Knechtel, Satwik Patnaik, Hani H. Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu GNN-RE: Graph Neural Networks for Reverse Engineering of Gate-Level Netlists. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Siang-Yun Lee, Heinz Riener, Giovanni De Micheli An Automated Testing and Debugging Toolkit for Gate-Level Logic Synthesis Applications. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Yanqing Zhang 0002, Haoxing Ren, Akshay Sridharan, Brucek Khailany GATSPI: GPU Accelerated Gate-Level Simulation for Power Improvement. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Pantea Kiaei, Yuan Yao, Zhenyuan Liu, Nicole Fern, Cees-Bart Breunesse, Jasper Van Woudenberg, Kate Gillis, Alex Dich, Peter Grossmann, Patrick Schaumont Gate-Level Side-Channel Leakage Assessment with Architecture Correlation Analysis. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Rasheed Kibria, Farimah Farahmandi, Mark M. Tehranipoor FSMx-Ultra: Finite State Machine Extraction from Gate-Level Netlist for Security Assessment. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2022 DBLP  BibTeX  RDF
13Anuradha Chathuranga Ranasinghe, Sabih H. Gerez Gate-Level RTL Description of the Glitch Optimized Multipliers. Search on Bibsonomy 2022   DOI  RDF
13Chi-Wei Chen, Pei-Yu Lo, Wei-Ting Hsu, Chih-Wei Chen, Chin-Wei Tien, Sy-Yen Kuo A Hardware Trojan Insertion Framework against Gate-Level Netlist Structural Feature-based and SCOAP-based Detection. Search on Bibsonomy MWSCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Xi Li, Min Pan, Tong Liu, Peter A. Beerel Multi-Phase Clocking for Multi-Threaded Gate-Level-Pipelined Superconductive Logic. Search on Bibsonomy ISVLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Ryotaro Negishi, Tatsuki Kurihara, Nozomu Togawa Hardware-Trojan Detection at Gate-level Netlists using Gradient Boosting Decision Tree Models. Search on Bibsonomy ICCE-Berlin The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Daniela Kaufmann, Paul Beame, Armin Biere, Jakob Nordström Adding Dual Variables to Algebraic Reasoning for Gate-Level Multiplier Verification. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Brunno A. Abreu, Guilherme Paim, Jorge Castro-Godínez, Mateus Grellert, Sergio Bampi On the Netlist Gate-level Pruning for Tree-based Machine Learning Accelerators. Search on Bibsonomy LASCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Rakesh M. B., Sai Pranav K. R, Pabitra Das, Amit Acharyya GLAAPE: Graph Learning Assisted Average Power Estimation for Gate-level Combinational Designs. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Chung-Han Chou, Chih-Jen (Jacky) Hsu, Chi-An (Rocky) Wu, Kuan-Hua Tu 2022 CAD Contest Problem A: Learning Arithmetic Operations from Gate-Level Circuit. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Vidya A. Chhabria, Ben Keller, Yanqing Zhang 0002, Sandeep Vollala, Sreedhar Pratty, Haoxing Ren, Brucek Khailany XT-PRAGGMA: Crosstalk Pessimism Reduction Achieved with GPU Gate-level Simulations and Machine Learning. Search on Bibsonomy MLCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Kazuki Yamashita, Tomohiro Kato, Kento Hasegawa, Seira Hidano, Kazuhide Fukushima, Nozomu Togawa Effective Hardware-Trojan Feature Extraction Against Adversarial Attacks at Gate-Level Netlists. Search on Bibsonomy IOLTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Dimitrios Garyfallou, Anastasis Vagenas, Charalampos Antoniadis, Yehia Massoud, George I. Stamoulis Leveraging Machine Learning for Gate-level Timing Estimation Using Current Source Models and Effective Capacitance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Hassan Salmani The Improved COTD Technique for Hardware Trojan Detection in Gate-level Netlist. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Kattekola Naresh, Y. Padma Sai, Shubhankar Majumdar Design of 8-bit Dadda Multiplier using Gate Level Approximate 4: 2 Compressor. Search on Bibsonomy VLSID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Yanqing Zhang 0002, Haoxing Ren, Akshay Sridharan, Brucek Khailany GATSPI: GPU accelerated gate-level simulation for power improvement. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
13Michael Zuzak Designing Effective Logic Obfuscation: Exploring Beyond Gate-Level Boundaries. Search on Bibsonomy 2022   RDF
13Kohei Nozawa, Kento Hasegawa, Seira Hidano, Shinsaku Kiyomoto, Kazuo Hashimoto, Nozomu Togawa Generating Adversarial Examples for Hardware-Trojan Detection at Gate-Level Netlists. Search on Bibsonomy J. Inf. Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
13Aneesh Balakrishnan, Thomas Lange, Maximilien Glorieux, Dan Alexandrescu, Maksim Jenihhin The Validation of Graph Model-Based, Gate Level Low-Dimensional Feature Data for Machine Learning Applications. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Aneesh Balakrishnan, Thomas Lange, Maximilien Glorieux, Dan Alexandrescu, Maksim Jenihhin Modeling Gate-Level Abstraction Hierarchy Using Graph Convolutional Neural Networks to Predict Functional De-Rating Factors. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13P. Balasubramanian 0001, Raunaq Nayar, Douglas L. Maskell Gate-Level Static Approximate Adders. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Ahmet Cagri Bagbaba, Maksim Jenihhin, Raimund Ubar, Christian Sauer 0001 Representing Gate-Level SET Faults by Multiple SEU Faults at RTL. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
13Gregor Leander, Thorben Moos, Amir Moradi 0001, Shahram Rasoolzadeh The SPEEDY Family of Block Ciphers Engineering an Ultra Low-Latency Cipher from Gate Level for Secure Processor Architectures. Search on Bibsonomy IACR Trans. Cryptogr. Hardw. Embed. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1066 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license