The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for hSpice with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1994-1996 (15) 1997-1999 (32) 2000 (16) 2001 (22) 2002 (50) 2003 (41) 2004 (35) 2005 (61) 2006 (57) 2007 (25) 2008 (35) 2009 (15) 2010-2020 (12)
Publication types (Num. hits)
article(56) inproceedings(360)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 249 occurrences of 195 keywords

Results
Found 416 publication records. Showing 416 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Shu-Hui Tu, J. Neil Ross Low sensitivity single-ended-input OTA and grounded capacitor elliptic filter structure with the minimum components. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Takahide Sato, Shigetaka Takagi, Nobuo Fujii, Yasuyuki Hashimoto, Kohji Sakata, Hiroyuki Okada Feedforward-type parasitic capacitance canceler and its application to 4 Gb/s T/H circuit. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chua-Chin Wang, Ching-Li Lee, Li-Ping Lin, Yih-Long Tseng Wideband 70dB CMOS digital variable gain amplifier design for DVB-T receiver's AGC. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hashem Zare-Hoseini, Omid Shoaei, Izzet Kale A new multiply-by-two gain-stage with enhanced immunity to capacitor-mismatch. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Wing-Hung Ki, Feng Su, Chi-Ying Tsui Charge redistribution loss consideration in optimal charge pump design. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chengjun Zhang, Chunyan Wang 0004, M. Omair Ahmad A VLSI architecture for a high-speed computation of the 1D discrete wavelet transform. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10G. M. Howard, Pedram Mokrian, Majid Ahmadi, William C. Miller Power and delay analysis of 4: 2 compressor cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Kuo-Hsing Cheng, Chen-Lung Wu, Yu-Lung Lo, Chia-Wei Su A phase-detect synchronous mirror delay for clock skew-compensation circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Minoru Watanabe, Fuminori Kobayashi A 16, 000-gate-count optically reconfigurable gate array in a standard 0.35µm CMOS technology. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10B. Afkal, Ali Afzali-Kusha, Mahmoud El Nokali Efficient power model for crossbar interconnects. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yarallah Koolivand, Omid Shoaei, Ali Zahabi, Hossein Shamsi, Parviz Jabedar Maralani A new technique for design CMOS LNA for multi-standard receivers. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jesús Ruiz-Amaya, José M. de la Rosa 0001, Manuel Delgado-Restituto, Ángel Rodríguez-Vázquez Behavioral modeling simulation and high-level synthesis of pipeline A/D converters. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Morteza Gholipour, Hamid Shojaee, Ali Afzali-Kusha, Ahmad Khademzadeh, Mehrdad Nourani An efficient model for performance analysis of asynchronous pipeline design methods. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Brian P. Ginsburg, Anantha P. Chandrakasan An energy-efficient charge recycling approach for a SAR converter with capacitive DAC. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10José Manuel Cazeaux, Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra, Abhijit Chatterjee On Transistor Level Gate Sizing for Increased Robustness to Transient Faults. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Animesh Datta, Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Yield Prediction of High Performance Pipelined Circuit with Respect to Delay Failures in Sub-100nm Technology. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Zhihao Xu, Dongming Jin, Zhijian Li Design of an Analog Adaptive Fuzzy Logic Controller. Search on Bibsonomy FSKD (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chin-Cheng Kuo, Yu-Chien Wang, Chien-Nan Jimmy Liu An efficient bottom-up extraction approach to build accurate PLL behavioral models for SOC designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Jaehong Ko, Wookwan Lee, Soo-Won Kim 2.5GHz PLL with current matching charge-pump for 10Gbps transmitter design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF jitter, PLL, output buffer, charge-pump
10Srivathsan Krishnamohan, Nihar R. Mahapatra An analysis of the robustness of CMOS delay elements. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay element, process variation, yield, Monte Carlo simulation
10Tian Xia, Peilin Song, Hao Zheng 0001 Characterizing the VCO jitter due to the digital simultaneous switching noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer, jitter, VCO, simultaneous switching noise
10Shaolei Quan, Meng-Yao Liu, Chin-Long Wey Design of a CMOS Operational Amplifier Amenable to Extreme Voltage Stress. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ali Zahabi, Omid Shoaei, Yarallah Koolivand Design of a Band-Pass Pseudo-2-Path Switched Capacitor Ladder Filter. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Aliakbar Ghadiri, Hamid Mahmoodi-Meimand Dual-Edge Triggered Static Pulsed Flip-Flops. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Suvodeep Gupta, Srinivas Katkoori, Hariharan Sankaran Floorplan-Based Crosstalk Estimation for Macrocell-Based Designs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001, Wenping Wang Accurate Stacking Effect Macro-Modeling of Leakage Power in Sub-100nm Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10M. M. Tabriz, Nasser Masoumi A New Topology for Power Control of High Efficiency Class-E Switched Mode Power Amplifier. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yanjie Wang, Yanbin Wang, Garry Tarr, Kris Iniewski A Temperature, Supply Voltage Compensated Floating-Gate MOS Dosimeter Using V_TH Extractor. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chun-Yueh Huang, Tsung-Tien Hou, Chi-Chieh Chuang, Hung-Yu Wang Design of 12-bit 100-MHz Current-Steering DAC for SoC Applications. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Amir Khatibzadeh, Kaamran Raahemifar A Novel Design of a 6-GHz 8 X 8-b Pipelined Multiplier. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Arif Ishaq Abou-Seido, Brian Nowak, Chris Chong-Nuen Chu Fitted Elmore delay: a simple and accurate interconnect delay model. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy 0001 A circuit-compatible model of ballistic carbon nanotube field-effect transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Model for Transient Fault Susceptibility of Combinational Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF transient fault modeling, transient fault susceptibility, alpha-particle, soft error, transient fault
10Minh Quang Do, Per Larsson-Edefors, Lars Bengtsson Table-Based Total Power Consumption Estimation of Memory Arrays for Architects. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Geoff V. Merrett, Bashir M. Al-Hashimi Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Joohee Kim, Conrad H. Ziesler Fixed-Load Energy Recovery Memory for Low Power. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Shu-Shin Chin, Sangjin Hong, Suhwan Kim Usage of Application-Specific Switching Activity for Energy Minimization of Arithmetic Units. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10José Luis Rosselló, Jaume Segura 0001 A Compact Propagation Delay Model for Deep-Submicron CMOS Gates including Crosstalk. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Turgay Temel, Avni Morgül, Nizamettin Aydin A Novel Signed Higher-Radix Full-Adder Algorithm and Implementation with Current-Mode Multi-Valued Logic Circuits. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Nisrine Saadallah, Xiaohua Kong, Radu Negulescu High-Speed Reduced Stack Dual Lock Circuits. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Makram M. Mansour, Mohammad M. Mansour, Amit Mehrotra Analysis of MOS cross-coupled LC-tank oscillators using short-channel device equations. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Zhong Wang, Jianwen Zhu Piecewise quadratic waveform matching with successive chord iteration. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Lei Wang, Sandeep K. Gupta 0001, Melvin A. Breuer Modeling and Simulation for Crosstalk Aggravated by Weak-Bridge Defects between On-Chip Interconnects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Jaime Martínez-Castillo, Alejandro Díaz-Sánchez, Alfonso Torres-Jácome, Roberto S. Murphy-Arteaga, Jesús L. Finol Bi-CMOS Opto-Electronic Reception System for Application in High-Frequencies. Search on Bibsonomy CONIELECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF OEIC receiver, transimpedance amplifier, low-noise amplifier, BiCMOS, TIA
10Soo Jin Park, Byoung Hee Yoon, Kwang Sub Yoon, Heung Soo Kim Design of Quaternary Logic Gate Using Double Pass-Transistor Logic with Neuron MOS Down Literal Circuit. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Arijit Raychowdhury, Kaushik Roy 0001 A Novel Multiple-Valued Logic Design Using Ballistic Carbon Nanotube FETs. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yijun Liu, Stephen B. Furber The design of a low power asynchronous multiplier. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Booth's algorithm, low power, benchmark, multiplier, asynchronous logic
10Nam Sung Kim, Taeho Kgil, Valeria Bertacco, Todd M. Austin, Trevor N. Mudge Microarchitectural power modeling techniques for deep sub-micron microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power modeling, deep sub-micron
10Yi Zou, Yici Cai, Qiang Zhou 0001, Xianlong Hong, Sheldon X.-D. Tan A Fast Delay Analysis Algorithm for The Hybrid Structured Clock Network. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yao Guo 0001, Saurabh Chheda, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Energy Characterization of Hardware-Based Data Prefetching. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yinghua Li, Rajeev Murgai, Takashi Miyoshi, Ashwini Verma XTalkDelay: A Crosstalk-Aware Timing Analysis Tool for Chip-Level Designs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Masayuki Tsukisaka, Masashi Imai, Takashi Nanya Asynchronous Scan-Latch controller for Low Area Overhead DFT. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Nima Maghari, Mohammad Yavari, Omid Shoaei An analytical model for the slewing behavior of CMOS two-stage operational transconductance amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chorng-Sii Hwang, Poki Chen, Hen-Wai Tsao A wide-range and fast-locking clock synthesizer IP based on delay-locked loop. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kuo-Hsing Cheng, Wei-Bin Yang, Shu-Chang Kuo A dual-slope phase frequency detector and charge pump architecture to achieve fast locking of phased-locked loop. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Zhan Xu, Ezz I. El-Masry Synthesis of log-domain filter with well-defined operating point. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Pedro M. Figueiredo, João C. Vital Low kickback noise techniques for CMOS latched comparators. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yarallah Koolivand, Ali Zahabi, Nasser Masoumi Modeling of polysilicide gate resistance effect on inverter delay and power consumption using distributed RC method and branching technique. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF polysilicide gate resistance, short circuit power, performance degradation, propagation delay
10Edward K. S. Au, Wing-Hung Ki, Wai Ho Mow, Silas T. Hung, Catherine Y. Wong A binary--search switched--current sensing scheme for 4-state MRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF magneto-resistive random access memory, switched-current
10Mohamed Abbas, Makoto Ikeda, Kunihiro Asada Noise Effects on Performance of Low Power Design Schemes in Deep Submicron Regime. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Shabbir H. Batterywala, Narendra V. Shenoy Computing Silent Gate Models for Noise Analysis from Slew and Delay Tables. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Suvodeep Gupta, Srinivas Katkoori Intra-Bus Crosstalk Estimation Using Word-Level Statistics. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Chong Zhao, Xiaoliang Bai, Sujit Dey A scalable soft spot analysis methodology for compound noise effects in nano-meter circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compound noise effect, nano-meter technology, softness distribution, robustness
10Yen-Jen Chang, Shanq-Jang Ruan, Feipei Lai Design and analysis of low-power cache using two-level filter scheme. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou A true single-phase energy-recovery multiplier. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Li Ding 0002, Pinaki Mazumder Simultaneous switching noise analysis using application specific device modeling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Bibhudatta Sahoo 0002, Keshab K. Parhi A Low Power Correlator for CDMA Wireless Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power, correlator, CDMA, incrementer
10Sampo Tuuna, Jouni Isoaho Estimation of Crosstalk Noise for On-Chip Buses. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10José Luis Rosselló, Jaume Segura 0001 A Compact Charge-Based Crosstalk Induced Delay Model for Submicronic CMOS Gates. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Peter M. Kelly, C. J. Thompson, T. Martin McGinnity, Liam P. Maguire A Binary Multiplier Using RTD Based Threshold Logic Gates. Search on Bibsonomy IWANN (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Srividya Srinivasaraghavan, Wayne P. Burleson Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chandramouli Gopalakrishnan, Srinivas Katkoori An Architectural Leakage Power Simulator for VHDL Structural Datapaths. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra High Speed and Highly Testable Parallel Two-Rail Code Checker. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Li-Da Huang, Hung-Ming Chen, D. F. Wong 0001 Global Wire Bus Configuration with Minimum Delay Uncertainty. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Yongjun Xu, Zuying Luo, Zhiguo Chen, Xiaowei Li 0001 Average Leakage Current Macromodeling for Dual-Threshold Voltage Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stack effect, leakage current simulation, propagation of signal probability, macromodeling
10Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy 0001 Modeling of Ballistic Carbon Nanotube Field Effect Transistors for Efficient Circuit Simulation. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SPICE
10Xuning Chen, Li-Shiuan Peh Leakage power modeling and optimization in interconnection networks. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection networks, leakage power, power optimization
10Z. Q. Li, Xiaowei Sun, W. Fan, G. J. Qi Bias-adaptive cross-coupled CMOS MAGFET pair for bipolar magnetic field detection. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Hui Zhang, Pinaki Mazumder, Li Ding 0002, Kyounghoon Yang Performance modeling of resonant tunneling based RAMs. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Taeik Kim, Xiaoyong Li 0001, David J. Allstot Accurate compact model extraction for on-chip coplanar waveguides. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Surachet Khucharoensin, Varakorn Kasemsuwan High performance CMOS current-mode precision full-wave rectifier (PFWR). Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Kuo-Hsing Cheng, Yu-Lung Lo, Wen Fang Yu A mixed-mode delay-locked loop for wide-range operation and multiphase outputs. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Chi-Sheng Lin, Kuan-Hua Chen, Bin-Da Liu Low-power and low-voltage fully parallel content-addressable memory. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Qinwei Xu, Pinaki Mazumder Efficient interconnect modeling by Finite Difference Quadrature methods. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Shuenn-Yuh Lee, Shyh-Chyang Lee, Jia-Jin Jason Chen VLSI implementation of wireless bi-directional communication circuits for micro-stimulator. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Tetsuya Fujiwara, Yoshihiko Horio, Kazuyuki Aihara An integrated multi-scroll circuit with floating-gate MOSFETs. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rola A. Baki, Mourad N. El-Gamal A new CMOS charge pump for low-voltage (1V) high-speed PLL applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Pedro M. Figueiredo, João C. Vital Analysis of the averaging technique in flash ADCs. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Amorn Jiraseree-amornkun, Nobuo Fujii, Wanlop Surakampontorn Realization of electronically tunable ladder filters using multi-output current controlled conveyors. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jianjun Guo, Waisiu Law, Charles T. Peach, Ward J. Helms, David J. Allstot A mixed-signal calibration technique for low-voltage CMOS 1.5-bit/stage pipeline data converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Surachet Khucharoensin, Varakorn Kasemsuwan High-speed low input impedance CMOS current comparator. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Boonchai Boonchu, Wanlop Surakampontorn A CMOS current-mode squarer/rectifier circuit. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Sei Hyung Jang A new synchronous mirror delay with an auto-skew-generation circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Kuo-Hsing Cheng, Yung-Hsiang Lin A dual-pulse-clock double edge triggered flip-flop for low voltage and high speed application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi Noise tolerant low voltage XOR-XNOR for fast arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR-XNOR circuits, multipliers, noise tolerant, deep submicron, nanometer technology
10Aiyappan Natarajan, David Jasinski, Wayne P. Burleson, Russell Tessier A hybrid adiabatic content addressable memory for ultra low-power applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adiabatic switching, ultra-low power, energy recovery
10Xiaoyun Sun, Larry L. Kinney, Bapiraju Vinnakota Test Vector Generation Based on Correlation Model for Ratio-Iddq. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Rahul Kundu, R. D. (Shawn) Blanton Path Delay Test Generation for Domino Logic Circuits in the Presence of Crosstalk. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Shabbir H. Batterywala, Narendra V. Shenoy A Method to Estimate Slew and Delay in Coupled Digital Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Li Ding 0002, Pinaki Mazumder The Impact of Bit-Line Coupling and Ground Bounce on CMOS SRAM Performance. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 416 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license