The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnection with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1972 (15) 1973-1976 (19) 1977-1979 (26) 1980 (19) 1981 (32) 1982 (48) 1983 (36) 1984 (34) 1985 (48) 1986 (62) 1987 (65) 1988 (81) 1989 (98) 1990 (110) 1991 (123) 1992 (134) 1993 (144) 1994 (198) 1995 (181) 1996 (204) 1997 (207) 1998 (153) 1999 (178) 2000 (199) 2001 (145) 2002 (215) 2003 (215) 2004 (257) 2005 (334) 2006 (312) 2007 (327) 2008 (315) 2009 (242) 2010 (138) 2011 (138) 2012 (132) 2013 (121) 2014 (103) 2015 (113) 2016 (122) 2017 (124) 2018 (95) 2019 (102) 2020 (95) 2021 (81) 2022 (84) 2023 (81) 2024 (17)
Publication types (Num. hits)
article(2309) book(5) data(1) incollection(17) inproceedings(3883) phdthesis(95) proceedings(12)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6978 occurrences of 2230 keywords

Results
Found 6322 publication records. Showing 6322 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28David B. Skillicorn A New Class of Fault-Tolerant Static Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF balanced incomplete block designs, performance-cost tradeoffs, fault-tolerant static interconnection networks, combinatorial block designs, fault-tolerant properties, performance evaluation, fault tolerant computing, multiprocessor interconnection networks, graceful degradation
28Chun-Fu Huang, Wen-Tsuen Chen Fault-Tolerant Single-Stage Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF Beta interconnection networks, recirculating interconnection networks, fault tolerance, parallel processing, fault diagnosis, multiprocessor systems
28Cauligi S. Raghavendra, Anujan Varma Fault-Tolerant Multiprocessors with Redundant-Path Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF redundant-path interconnection, multistage interconnection, multiprocessor systems, graph coloring, fault- tolerant routing, omega network, permutation networks, delta networks, BPC permutations
28A. Yavuz Oruç, Deepak Prakash Routing Algorithms for Cellular Interconnection Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Cellular interconnection arrays, monotone increasing factorization, interconnection networks, permutation, cycle, transposition
27Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, Niraj K. Jha GARNET: A detailed on-chip network model inside a full-system simulator. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27William J. Dally Interconnect-Centric Computing. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Xuan-Yi Lin, Yeh-Ching Chung, Tai-Yi Huang A Multiple LID Routing Scheme for Fat-Tree-Based InfiniBand Networks. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27V. David, C. Fraboul, J. Y. Rousselot, Pierre Siron Partitioning and Mapping Communication Graphs on a Modular Reconfigurable Parallel Architecture. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Xingyun Qi, Quanyou Feng, Yongran Chen, Qiang Dou, Wenhua Dou A Fault Tolerant Bufferless Optical Interconnection Network. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Tamas Malek, Tomás Martínek, Jan Korenek GICS: Generic interconnection system. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hongbing Fan, Christian Hundt 0001, Yu-Liang Wu, Jason Ernst Algorithms and Implementation for Interconnection Graph Problem. Search on Bibsonomy COCOA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Shuo-Yen Robert Li, Xuesong Jonathan Tan Preservation of Conditionally Nonblocking Switches Under Two-Stage Interconnection. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sewook Jung, Alexander Chang, Mario Gerla Temporary Interconnection of ZigBee Personal Area Network (PAN). Search on Bibsonomy MobiQuitous The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Abbas Nayebi, Sina Meraji, Arash Shamaei, Hamid Sarbazi-Azad XMulator: A Listener-Based Integrated Simulation Platform for Interconnection Networks. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ray T. Chen Optical interconnects: a viable solution for interconnection beyond 10 gbit/sec. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF PCB interconnects, optical bus architecture, optical interconnects
27Yuxia Lei, Yan Wang, Baoxiang Cao Knowledge Interconnection Based on Binary Semantic Relation Analysis. Search on Bibsonomy SNPD (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jung-Eun Ku, Sun-Me Choi, Sang-Woo Lee, Tchanghee Hyun Interconnection Scenario and Regulation under NGN Environment in the Case of Korea. Search on Bibsonomy ICNS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Jung-Heum Park, Hee-Chul Kim, Hyeong-Seok Lim Many-to-Many Disjoint Path Covers in Hypercube-Like Interconnection Networks with Faulty Elements. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-Hamiltonicity, strong Hamiltonicity, recursive circulants, restricted HL-graphs, Fault tolerance, graph theory, embedding, network topology
27Xiaoguang Li, Ge Yu 0001, Daling Wang, Baoyan Song Evaluating Interconnection Relationship for Path-Based XML Retrieval. Search on Bibsonomy WISE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Zhongbo Zhang, Siliang Ma, Xiao Han Multiscale Feature Extraction of Finger-Vein Patterns Based on Curvelets and Local Interconnection Structure Neural Network. Search on Bibsonomy ICPR (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Baojun Qiao, Shi Feng 0003, Weixing Ji A New Routing Algorithm in Triple-Based Hierarchical Interconnection Network. Search on Bibsonomy ICICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Bahman Javadi, Jemal H. Abawajy, Mohammad K. Akbari, Saeid Nahavandi Analysis of Interconnection Networks in Heterogeneous Multi-Cluster Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Aydin O. Balkan, Gang Qu 0001, Uzi Vishkin A Mesh-of-Trees Interconnection Network for Single-Chip Parallel Processing. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jung-Heum Park, Hee-Chul Kim, Hyeong-Seok Lim Fault-Hamiltonicity of Hypercube-Like Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Peter M. Kelly, T. Martin McGinnity, Liam P. Maguire Reducing Interconnection Resource Overhead in Nano-scale FPGAs through MVL Signal Systems. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Sacki Agelis, Magnus Jonsson Reconfigurable Optical Interconnection System Supporting Concurrent Application-Specific Parallel Computing. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou Automatic interconnection rectification for SoC design verification based on the port order fault model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Hsin-Chou Chi, Wen-Jen Wu Routing Tree Construction for Interconnection Network with Irregular Topologies. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Li Shang, Li-Shiuan Peh, Niraj K. Jha Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Ahmad Chadi Aljundi, Jean-Luc Dekeyser, M. Tahar Kechadi, Isaac D. Scherson A Study of an Evaluation Methodology for Unbuffered Multistage Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Chun-Yao Wang, Shing-Wu Tung, Jing-Yang Jou SoC design integration by using automatic interconnection rectification. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Vesa Lahtinen, Erno Salminen, Kimmo Kuusilinna, Timo D. Hämäläinen Comparison of synthesized bus and crossbar interconnection architectures. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Valentin Puente, José A. Gregorio, Ramón Beivide SICOSYS: An Integrated Framework for studying Interconnection Network Performance in Multiprocessor Systems. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Michele Flammini, Bruce M. Maggs, Jop F. Sibeyn, Berthold Vöcking Routing and Communication in Interconnection Networks. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Hassan Gomaa, Daniel A. Menascé, Michael E. Shin Reusable component interconnection patterns for distributed software architectures. Search on Bibsonomy SSR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF UML, software architecture, patterns, software reuse, software component, distributed applications, client/server systems
27Dinesh Bhatia, James Haralambides Resource requirements and layouts for field programmable interconnection chips. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Rajiv M. Dewan, Marshall L. Freimer, Pavan Gundepudi Interconnection Agreements between Competing Internet Service Providers. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Chi-Hsiang Yeh, Behrooz Parhami The Index-Permutation Graph Model for Hierarchical Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Jonas Hallberg, Zebo Peng Estimation and Consideration of Interconnection Delays during High-Level Synthesis. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Susumu Horiguchi, Masayuki Konuki The Horizontal Rotate Crossed Cube HCQ Interconnection Network. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Toshihiro Taketa, Kuninobu Tanno, Susumu Horiguchi Radix R Parallel FFT Algorithms with a Global Interconnection Networks and Its Evaluation. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27C. Sul, Robert D. McLeod, Witold Pedrycz Reliable and fast reconfigurable hierarchical interconnection networks for linear WSI arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
27Helge Scheidig, M. F. Schneider, R. Spurk Efficient and Scalable Logic Busses for Message-Passing Interconnection Networks. Search on Bibsonomy EDMCC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
27Darryl L. Willick, Derek L. Eager An Analytical Model of Multistage Interconnection Networks. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27Calvin Ching-Yuen Chen, Hee Yong Youn A comprehensive modeling for performance evaluation of regular interconnection network. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
27Benjamin W. Wah, Anthony Hicks Distributed scheduling of resources on interconnection networks. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
27Kyungsook Y. Lee, Wael Hegazy The Extra Stage Gamma Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF recurrence formula, path distribution, extra stage Gamma network, augmented data manipulator, inverse augmented data manipulator, plus-minus-2/sup i/ connection patterns, PM2I interconnection network, tag values, 1-fault tolerant interconnection network, fault tolerant computing, multiprocessor interconnection networks, uniform distribution, multiple paths
25Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Evaluating SoC Network Performance in MPEG-4 Encoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA multiprocessor, Multiprocessor, System-on-chip, Network-on-chip, MPEG-4, MPSoC, On-chip interconnection
25George L. Yuan, Ali Bakhoda, Tor M. Aamodt Complexity effective memory access scheduling for many-core accelerator architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics processors, on-chip interconnection networks, memory controller
25Ruzana Davoyan, Jörn Altmann, Wolfgang Effelsberg A New Bilateral Arrangement between Interconnected Providers. Search on Bibsonomy ICQT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Interconnection arrangement, intercarrier compensation, Internet economics
25Yamin Li, Shietung Peng, Wanming Chu Efficient Collective Communications in Dual-Cube. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF broadcast and personalized communications, algorithm, interconnection network, hypercube, collective communications
25Hassan Gomaa, Daniel A. Menascé Performance Engineering of Component-Based Distributed Software Systems. Search on Bibsonomy Performance Engineering The full citation details ... 2001 DBLP  DOI  BibTeX  RDF component interconnection patterns, XML, UML, software architecture, performance model, queuing networks
25Kenneth E. Hoganson Mapping Parallel Application Communication Topology to Rhombic Overlapping-Cluster Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster, parallel programming, interconnection network, shared-memory multiprocessor, overlapped cluster
25Vittorio Scarano On the Sizes of Extended Fibonacci Cubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Extended Fibonacci Cubes, interconnection networks, Parallel architectures
25Wen-Jing Hsu, Moon-Jung Chung, Amitabha Das Linear Recursive Networks and Their Applications in Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Design and analysis of interconnection topologies, recursive networks, self-similar networks, hypercube, routing algorithms, Fibonacci cube
25Lionel M. Ni, Yadong Gui, Sherry Moore Performance Evaluation of Switch-Based Wormhole Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Dilated networks, turnaround routing, scalable parallel computers, multistage interconnection networks, wormhole switching, fat tree
25T. Collette, Hassane Essafi, Didier Juvin, J. Kaiser SYMPATIX: a SIMD computer performing the low and intermediate levels of image processing. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF intermediate level of image processing, VHDL system simulation, parallel processing, interconnection networks, SIMD
25Jian Li 0059, Lixin Zhang 0002, Charles Lefurgy, Richard R. Treumann, Wolfgang E. Denzel Thrifty interconnection network for HPC systems. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interconnection network, high-performance computing, power, energy
25Nan Jiang 0009, John Kim, William J. Dally Indirect adaptive routing on large scale interconnection networks. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dragonfly, routing, interconnection networks
25Marcus Brenner, Armin Zimmermann Analysis of Delay Time Distributions in Multistage Interconnection Networks Considering Multicast Traffic. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Quality of Service, performance analysis, multicasting, Multistage interconnection networks, delay distributions
25Farshad Safaei, Ahmad Khonsari, Morteza Analoui, Aresh Dadlani Dependency Analysis of Message Packet Queues in Interconnection Networks with Faults. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network of queues, independence assumption, fault-tolerance, interconnection networks
25Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk Interconnection lengths and delays estimation for communication links in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communciation link, interconnection length prediction, FPGA
25Bao-Xing Chen, Wenjun Xiao Routing in General Incomplete Star Interconnection Network. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF incomplete star graph, routing, interconnection network
25Farshad Safaei, Ahmad Khonsari, Aresh Dadlani, Mohamed Ould-Khaoua A Probabilistic Characterization of Fault Rings in Adaptively-Routed Mesh Interconnection Networks. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault Rings, Fault-tolerance, Interconnection Networks, Mesh, Adaptive Routings
25John D. Owens, William J. Dally, Ron Ho, Doddaballapur Narasimha-Murthy Jayasimha, Stephen W. Keckler, Li-Shiuan Peh Research Challenges for On-Chip Interconnection Networks. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, system on chip, network on chip, multicore architectures, on-chip interconnection networks
25Paul Gratz, Changkyu Kim, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger On-Chip Interconnection Networks of the TRIPS Chip. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communication, networking, distributed architectures, packet-switching networks, multicore architectures, on-chip interconnection networks
25Navid Imani, Hamid Sarbazi-Azad, Selim G. Akl Perfect load balancing on the star interconnection network. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Load balancing, Interconnection networks, Multicomputers, Star graph, Tree embedding, Hierarchical algorithm
25Vassos Soteriou, Li-Shiuan Peh Exploring the Design Space of Self-Regulating Power-Aware On/Off Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF on/off mechanism, Interconnection networks, low-power design, network topology, routing algorithm, communication link
25Yulei Wu, Geyong Min, Lan Wang Performance Analysis of Interconnection Networks Under Bursty and Batch Arrival Traffic. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compound Poisson Process, Parallel Processing, Interconnection Networks, Multicomputers, Virtual Channels
25Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio, Pablo Prieto Rotary router: an efficient architecture for CMP interconnection networks. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnection networks, router architecture, chip multi-processors
25Youngsong Mun Performance Analysis of Banyan-Type Multistage Interconnection Networks Under Nonuniform Traffic Pattern. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nonuniform traffic pattern, multistage interconnection networks
25M. Monemizadeh, Hamid Sarbazi-Azad The necklace-hypercube: a well scalable hypercube-based interconnection network for multiprocessors. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF necklace-hypercube, routing, interconnection networks, broadcast, hypercube, VLSI layout, topological properties
25Constantine Katsinis, Bahram Nabet A Scalable Interconnection Network Architecture for Petaflops Computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF petaflops computing, performance analysis, interconnection networks, computer architecture
25Fabrizio Petrini, Eitan Frachtenberg, Adolfy Hoisie, Salvador Coll Performance Evaluation of the Quadrics Interconnection Network. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF operating system bypass, performance evaluation, interconnection networks, user-level communication
25Vijay Lakamraju, Israel Koren, C. Mani Krishna 0001 Filtering Random Graphs to Synthesize Interconnection Networks with Multiple Objectives. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault tolerance, Interconnection networks, synthesis, filters, embedding, diameter, packaging, random regular graphs
25Yulu Yang, Akira Funahashi, Akiya Jouraku, Hiroaki Nishi, Hideharu Amano, Toshinori Sueyoshi Recursive Diagonal Torus: An Interconnection Network for Massively Parallel Computers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF router chip, message multicast, Interconnection network, routing algorithm, mesh network, massively parallel computer, torus network
25Nobuo Tsuda ABL-Tree: A Constant Diameter Interconnection Network for Reconfigurable Processor Arrays Capable of Distributed Communication . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF generalized ABL, fault tolerance, interconnection network, reconfiguration, tree, mesh, ring, PC cluster, parallel and distributed processing
25Sunil Kim, Alexander V. Veidenbaum On Interaction between Interconnection Network Design and Latency Hiding Techniques in Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnection networks, prefetching, weak consistency
25Mingyao Yang, Lionel M. Ni Incremental Design of Scalable Interconnection Networks Using Basic Building Blocks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Scalable interconnection networks, scalable parallel computers, wormhole routing, adaptive routing, mesh networks, incremental design
25Wei Kuang Lai Performing Permutations on Interconnection Networks by Regularly Changing Switch States. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Permutation, multistage interconnection networks, deterministic
25Brian Webb, Ahmed Louri A Class of Highly Scalable Optical Crossbar-Connected Interconnection Networks (SOCNs) for Parallel Computing Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalability, networks, parallel architectures, hypercubes, wavelength division multiplexing, Optical interconnections, crossbars, multiprocessor interconnection
25Qian-Ping Gu, Shietung Peng Wavelengths Requirement for Permutation Routing in All-Optical Multistage Interconnection Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multistage interconnection networks, Permutation routing, all-optical networks, node-disjoint paths, wavelength routing, BPC permutations
25Aniruddha S. Vaidya, Chita R. Das, Anand Sivasubramaniam A Testbed for Evaluation of Fault-Tolerant Routing in Multiprocessor Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Application-driven evaluation, evaluation testbed, path-selection heuristics, interconnection network, fault-tolerant routing, router design
25Qin Fan, Yulu Yang, Akira Funahashi, Hideharu Amano A Torus Assignment for an Interconnection Network Recursive Diagonal Torus. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnection network, routing algorithm
25Ada Wai-Chee Fu, Siu-Cheung Chau Cyclic-Cubes: A New Family of Interconnection Networks of Even Fixed-Degrees. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF generalized hypercube, fixed degree, interconnection, Cayley graphs
25Ahmed Louri, Brent Weech, Costas Neocleous A Spanning Multichannel Linked Hypercube: A Gradually Scalable Optical Interconnection Network for Massively Parallel Computing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scalability, Interconnection networks, wavelength division multiplexing, optical interconnects, massively parallel processing, product networks
25Rajeev Sivaram, Dhabaleswar K. Panda 0001, Craig B. Stunkel Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF multicast, broadcast, wormhole routing, multistage interconnection networks, collective communication, interprocessor communication, Parallel computer architecture, virtual cut-through
25Guihai Chen, Francis C. M. Lau 0001 Comments on "A New Family of Cayley Graph Interconnection Networks of Constant Degree Four". Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF butterfly graph, Interconnection network, generator, Cayley graph, isomorphism, de Bruijn graph
25Feng-Hsu Wang, Ferng-Ching Lin On Routing Maskable Messages in Hypercube-Derived Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hypercube-derived multistage interconnection networks, network cycles, $left({_d^s} right)hbox{-}$masks, Circuit switching, message routing
25Binh Vien Dao, Sudhakar Yalamanchili, José Duato Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF routing, interconnection networks, message passing, communication locality
25Nak-Keun Joo, Hyeong-Seok Lim A new interconnection network for parallel computer with low diameter. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF low diameter, graycube, dialation 2, hypercube embedding, routing, parallel computer, interconnection network, hypercube networks, broadcasting algorithms, recursive structure
25Prasant Mohapatra, Chita R. Das Performance Analysis of Finite-Buffered Asynchronous Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF performance analysis, Multiprocessor, multistage interconnection network, queueing model, finite buffer
25Douglas H. Summerville, José G. Delgado-Frias, Stamatis Vassiliadis A Flexible Bit-Pattern Associative Router for Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Routing algorithm execution, adaptive routing and flexible routers, interconnection networks, associative memories, oblivious routing
25Premkumar Vadapalli, Pradip K. Srimani A New Family of Cayley Graph Interconnection Networks of Constant Degree Four. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF constant degree, simple routing, fault tolerance, Interconnection network, generators, Cayley graph, optimal routing
25John R. Feehrer, Lars H. Ramfelt Packet Synchronization for Synchronous Optical Deflection-Routed Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synchronization, interconnection networks, integer programming, packet switching, constrained optimization, optical interconnects, propagation delay, Deflection routing
25Po-Jen Chuang CGIN: A Fault Tolerant Modified Gamma Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Gamma interconnection networks, fault tolerance, routing algorithms, Disjoint paths, terminal reliability
25José G. Delgado-Frias, Jabulani Nyathi, Chester L. Miller, Douglas H. Summerville A VLSI Interconnection Network Router Using a D-CAM with Hidden Refresh. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Content addressable memory (CAM) Router, Hidden refresh circuitry, Parallel matching, Per-entry unique bit masking, Interconnection networks
25Christian Pätz, Klaus Franke ATM Interconnection - A Solution for Cost Sensitive Parallel Computing. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF High Speed Communication, embedded supercomputing, parallel computing, interconnection networks, ATM
25Jianxun Jason Ding, Laxmi N. Bhuyan valuation of multi-queue buffered multistage interconnection networks under uniform and nonuniform traffic patterns. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiqueue buffered multistage interconnection networks, nonuniform traffic patterns, uniform traffic patterns, statically allocated fully connected, statically allocated multiqueue, dynamically allocated multiqueue, dynamically allocated fully connected, simulations, performance evaluation, queueing theory, analytical model, ATM switching, buffer allocation, unified model
25Tsern-Huei Lee, Jin-Jye Chou Testing the Dynamic Full Access Property of a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF dynamic full access property, multistage interconnectionnetworks, faulty banyan networ, multiprocessor system reconfiguration, test procedure, faulty switching elements, fault tolerant computing, probability, multiprocessor interconnection networks, reconfigurable architectures, computer testing, network decomposition
Displaying result #201 - #300 of 6322 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license