The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Christos A. Papachristou, Narendar B. Sahgal An Improved Method for Detecting Functional Faults in Semiconductor Random Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF random access memories (RAM's), fault models, memory testing, Coupling faults, functional faults
23Bella Bose, T. R. N. Rao Unidirectional Error Codes for Shift-Register Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Arithmetic residue check, unidirectional errors, parity check, shift register memories, information rate, asymmetric errors, symmetric errors
23Faye A. Briggs, Michel Dubois 0001 Effectiveness of Private Caches in Multiprocessor Systems with Parallel-Pipelined Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF multicache consistency, performance evaluation, multiprocessors, Cache memories, memory organization
23Gian Carlo Bongiovanni, C. K. Wong Tree Search in Major/Minor Loop Magnetic Bubble Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF major loops, minor loops, number of comparisons, one-sided height-balanced trees, one-sided K-height-balanced trees, record movement, Analysis of algorithms, insertion, deletion, tree search, balanced trees, magnetic bubble memories
23Dong S. Suk, Sudhakar M. Reddy A March Test for Functional Faults in Semiconductor Random Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF random access memories (RAM's), lower bounds, Functional faults
23C. V. Ramamoorthy, Benjamin W. Wah An Optimal Algorithm for Scheduling Requests on Interleaved Memories for a Pipelined Processor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Data modules, instruction modules, intelligent buffers, memory bandwidth, pipelined processor, interleaved memories, optimal scheduling algorithm
23Kin-Man Chung, Fabrizio Luccio, C. K. Wong A Tree Storage Scheme for Magnetic Bubble Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF searching, Analysis of algorithms, insertion, deletion, tree searching, magnetic bubble memories
23Jane W.-S. Liu, Mário Jino Intelligent Magnetic Bubble Memories and Their Applications in Data Base Management Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF relational database interface, Database machines, magnetic bubble memories
23Henry D. Shapiro Theoretical Limitations on the Efficient Use of Parallel Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF memory-processor connection networks, Array processors, SIMD machines, parallel memories, skewing schemes
23Dhiraj K. Pradhan Fault-Tolerant Asynchronous Networks Using Read-Only Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF static redundancy, error-correcting codes, Asynchronous networks, read-only memories, fault-tolerant networks
23Ravindra Nair, Satish M. Thatte, Jacob A. Abraham Efficient Algorithms for Testing Semiconductor Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF test complexity, memories, fault models, Coupling, testing algorithm
23Jack J. Stiffler Coding for Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Bit switching, erasure correction, error-correcting codes, random-access memories, syndrome decoding
23Samuel H. Fuller, Patrick F. McGehearty Minimizing Latency in CCD Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF serial memories, rotational latency, disks, Charge-coupled devices
23Werner E. Kluge Data File Management in Shift Register Memories. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF LIFO/FIFO operation modes, management of sequentially organized files, record retrieval, updating, insertion, data transformations, deletion, relocation, shift-register memories
23Werner E. Kluge Traversing Binary Tree Structures with Shift-Register Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Data permutations, traversal control, tree structures, shift-register memories, tree traversals
23John Knaizuk Jr., Carlos R. P. Hartmann An Optimal Algorithm for Testing Stuck-at Faults in Random Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF Fault detection stuck-at aults, optimal algorithm, random access memories
23John P. Hayes Detection of Pattern-Sensitive Faults in Random-Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF fault detection, random-access memories, pattern-sensitive faults, Checking experiments
22Norbert Gyorbíró, Henry Larkin, Michael Cohen 0002 Long-term memory retention and recall of collected personal memories. Search on Bibsonomy SIGGRAPH Posters The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Sriram C. Krishnan, Rina Panigrahy, Sunil Parthasarathy Error-Correcting Codes for Ternary Content Addressable Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Joon-Young Paik, Eun-Sun Cho, Tae-Sun Chung Performance Improvement for Flash Memories Using Loop Optimization. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Claudio L. Midolo Phototropic memories. Search on Bibsonomy Mobile HCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slow tech, context aware, telecommunication, affective, digital photography, symbolic
22Benjamín Cruz, Ricardo Barrón, Humberto Sossa A New Unsupervised Learning for Clustering Using Geometric Associative Memories. Search on Bibsonomy CIARP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Ozcan Ozturk 0001, Mahmut T. Kandemir ILP-Based energy minimization techniques for banked memories. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power operating modes, compilers, data compression, replication, migration, DRAM, Memory banking
22José Antonio Ruz Hernández, Edgar N. Sánchez, Dionisio A. Suarez Soft Margin Training for Associative Memories: Application to Fault Diagnosis in Fossil Electric Power Plants. Search on Bibsonomy Soft Computing for Hybrid Intelligent Systems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22William Lundgren Gedae's automated management of hierarchical memories on multicore processors Commercial Tutorial. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22David Sheldon, Frank Vahid Don't forget memories: a case study redesigning a pattern counting ASIC circuit for FPGAs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BRAM, high-throughput design, pattern counting, redesigning circuit, FPGA, design patterns, stream, memory, ASIC
22James Ting-Ho Lo Probabilistic associative memories. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Woo-Cheol Kwon, Sungjoo Yoo, Sung-Min Hong, Byeong Min, Kyu-Myung Choi, Soo-Kwan Eo A practical approach of memory access parallelization to exploit multiple off-chip DDR memories. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelization, memory, arbitration
22Jin-Fu Li 0001 Transparent-Test Methodologies for Random Access Memories Without/With ECC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Peter Sussner, Marcos Eduardo Valle Morphological and Certain Fuzzy Morphological Associative Memories for Classification and Prediction. Search on Bibsonomy Computational Intelligence Based on Lattice Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22José Antonio Ruz Hernández, Edgar N. Sánchez, Dionisio A. Suarez Soft Margin Training for Associative Memories Implemented by Recurrent Neural Networks. Search on Bibsonomy Analysis and Design of Intelligent Systems using Soft Computing Techniques The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Marcos Eduardo Valle, Peter Sussner Fuzzy Associative Memories from the Perspective of Mathematical Morphology. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Betty Prince Embedded non-volatile memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, MONOS, PC-RAM, SONOS, floating gate memory, nanocrystal memory, nitride storage memory, trapping site memory, flash memory, embedded memory, non-volatile memory, MRAM
22Nagender Bandi, Ahmed Metwally 0001, Divyakant Agrawal, Amr El Abbadi Fast data stream algorithms using associative memories. Search on Bibsonomy SIGMOD Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TCAMS, data streams, hardware
22Nagender Bandi, Divyakant Agrawal, Amr El Abbadi Fast Algorithms for Heavy Distinct Hitters using Associative Memories. Search on Bibsonomy ICDCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sandip Ray, Jayanta Bhadra A Mechanized Refinement Framework for Analysis of Custom Memories. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Guangyu Chen, Feihui Li, Mahmut T. Kandemir, Ozcan Ozturk 0001, I. Demirkiran Compiler-Directed Management of Leakage Power in Software-Managed Memories. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Yu-Jen Huang, Jin-Fu Li 0001 Testing Active Neighborhood Pattern-Sensitive Faults of Ternary Content Addressable Memories. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Wolfgang Wahlster, Alexander Kröner, Dominik Heckmann SharedLife: Towards Selective Sharing of Augmented Personal Memories. Search on Bibsonomy Reasoning, Action and Interaction in AI Theories and Systems The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Zhigang Zeng, Jun Wang 0002 Associative Memories Based on Discrete-Time Cellular Neural Networks with One-Dimensional Space-Invariant Templates. Search on Bibsonomy ISNN (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Cornelio Yáñez-Márquez, Luis Pastor Sánchez Fernández, Itzamá López-Yáñez Alpha-Beta Associative Memories for Gray Level Patterns. Search on Bibsonomy ISNN (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Alcir G. Reis, J. L. Acebal, Rogério Martins Gomes, Henrique E. Borges Space-vector structure based synthesis for hierarchically coupled associative memories. Search on Bibsonomy SBRN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Gerasimos G. Rigatos Energy spectrum of quantum associative memories. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Olivier Ginez, Jean Michel Daga, Marylene Combe, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel An Overview of Failure Mechanisms in Embedded Flash Memories. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Salvador Petit, Noel Tomás, Julio Sahuquillo, Ana Pont An execution-driven simulation tool for teaching cache memories in introductory computer organization courses. Search on Bibsonomy WCAE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Steven W. Oldridge, Steven J. E. Wilton A novel FPGA architecture supporting wide, shallow memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jayanta Bhadra, Andrew K. Martin, Jacob A. Abraham A Formal Framework for Verification of Embedded Custom Memories of the Motorola MPC7450 Microprocessor. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient memory models, embedded memory verification, custom circuit verification, equivalence checking, symbolic trajectory evaluation
22Said Hamdioui, John Eleazar Q. Delos Reyes New data-background sequences and their industrial evaluation for word-oriented random-access memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Eran Gal, Sivan Toledo Algorithms and data structures for flash memories. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EEPROM memory, Flash memory, wear leveling
22Gustavo Neuberger, Fernanda Gusmão de Lima Kastensmidt, Ricardo Reis 0001 An Automatic Technique for Optimizing Reed-Solomon Codes to Improve Fault Tolerance in Memories. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Demetrios Zeinalipour-Yazti, Vana Kalogeraki, Dimitrios Gunopulos, Walid A. Najjar Data Acquisition in Sensor Networks with Large Memories. Search on Bibsonomy ICDE Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Eran Gal, Sivan Toledo Mapping Structures for Flash Memories: Techniques and Open Problems. Search on Bibsonomy SwSTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Jana Stanclová, Filip Zavoral Hierarchical Associative Memories: The Neural Network for Prediction in Spatial Maps. Search on Bibsonomy ICIAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Chris Winstead Analog Soft Decoding for Multi-Level Memories. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Michael S. Ryoo, Yongho Seo, Hye-Won Jung, Hyun Seung Yang Affective Dialogue Communication System with Emotional Memories for Humanoid Robots. Search on Bibsonomy ACII The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Luís Morgado, Graça Gaspar Adaptation and Decision-Making Driven by Emotional Memories. Search on Bibsonomy EPIA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Hage-Hassan Data Retention Fault in SRAM Memories: Analysis and Detection Procedures. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Mayez A. Al-Mouhamed Array Organization in Parallel Memories. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, compiler optimization, access patterns, streamed computations, parallel memory
22Jin-Fu Li 0001, Chao-Da Huang An Efficient Diagnosis Scheme for Random Access Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Chih-Tsun Huang, Jen-Chieh Yeh, Yuan-Yuan Shih, Rei-Fu Huang, Cheng-Wen Wu On Test and Diagnostics of Flash Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Said Hamdioui, Georgi Gaydadjiev, Ad J. van de Goor The State-of-Art and Future Trends in Testing Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Andrew Neel, Max H. Garzon, Phanni Penumatsa Improving the Quality of Semantic Retrieval in DNA-Based Memories with Learning. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Juan Humberto Sossa Azuela, Ricardo Barrón, Roberto Antonio Vázquez New Associative Memories to Recall Real-Valued Patterns. Search on Bibsonomy CIARP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Robert C. Aitken A Modular Wrapper Enabling High Speed BIST and Repair for Small Wide Memories. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Heon C. Kim, Hong Shin Jun, Xinli Gu, Sung Soo Chung At-Speed Interconnect Test and Diagnosis of External Memories on a System. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Gary Gréwal, Thomas Charles Wilson, Andrew Morton An EGA approach to the compile-time assignment of data to multiple memories in digital-signal processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor, Mike Rodgers Dynamic Faults in Random-Access-Memories: Concept, Fault Models and Tests. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF static faults, fault models, fault coverage, memory tests, dynamic faults, fault primitives
22Emma Hart, Peter Ross Exploiting the Analogy between the Immune System and Sparse Distributed Memories. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sparse distributed memory, data-clustering, immune system
22Robert C. Aitken Applying Defect-Based Test to Embedded Memories in a COT Model. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Gonzalo Urcid, Gerhard X. Ritter, Laurentiu Iancu Kernel Computation in Morphological Bidirectional Associative Memories. Search on Bibsonomy CIARP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chih-Wea Wang, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu Test and Diagnosis of Word-Oriented Multiport Memories. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Theo J. Powell, Wu-Tung Cheng, Joseph Rayhawk, Omer Samman, Paul Policke, Sherry Lai BIST for Deep Submicron ASIC Memories with High Performance Application. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chih-Wea Wang, Kuo-Liang Cheng, Jih-Nung Lee, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu, Frank Huang, Hong-Tzer Yang Fault Pattern Oriented Defect Diagnosis for Memories. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF failure analysis (FA), fault pattern, memory diagnostics, memory testing, bitmap, semiconductor memory
22Mohammad Gh. Mohammad, Kewal K. Saluja Electrical Model For Program Disturb Faults in Non-Volatile Memories. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Piotr R. Sidorowicz, Janusz A. Brzozowski A framework for testing special-purpose memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Manuel Graña, Bogdan Raducanu, Peter Sussner, Gerhard X. Ritter On Endmember Detection in Hyperspectral Images with Morphological Associative Memories. Search on Bibsonomy IBERAMIA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Stefano Gregori, Guido Torelli, Osama Khouri, Rino Micheloni An Error Control Code Scheme for Multilevel Flash Memories. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Sandeep Koranne, Tom Waayers, Robert Beurze, Clemens Wouters, Sunil Kumar, G. S. Visweswara A P1500 Compliant Programable BistShell for Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Miroslav N. Velev Automatic Abstraction of Memories in the Formal Verification of Superscalar Microprocessors. Search on Bibsonomy TACAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Yasuaki Kuroe, Naoki Hashimoto, Takehiro Mori Qualitative Analysis of Continuous Complex-Valued Associative Memories. Search on Bibsonomy ICANN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Yervant Zorian Yield Improvement and Repair Trade-Off for Large Embedded Memories. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon repair, BIST, DFM, Yield improvement
22Osama Khouri, Rino Micheloni, Stefano Gregori, Guido Torelli Fast Voltage Regulator for Multilevel Flash Memories. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Said Hamdioui, Ad J. van de Goor, Mike Rodgers, David Eastwick March Tests for Realistic Faults in Two-Port Memories. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Kamran Zarrineh, R. Dean Adams, Aneesha P. Deo Defect Analysis and Realistic Fault Model Extensions for Static Random Access Memories. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Chuang Cheng, Chih-Tsun Huang, Jing-Reng Huang, Cheng-Wen Wu, Chen-Jong Wey, Ming-Chang Tsai BRAINS: A BIST Compiler for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jih-Kwon Peir, Windsor W. Hsu, Alan Jay Smith Functional Implementation Techniques for CPU Cache Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache access mechanism, cache area and bandwidth, Cache memory, address translation
22Paul E. Hasler, Bradley A. Minch, Chris Diorio Floating-gate devices: they are not just for digital memories any more. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Seongwoo Kim, Arun K. Somani Area Efficient Architectures for Information Integrity in Cache Memories. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Gerhard X. Ritter, Peter Sussner, Juan Luis Díaz-de-León S. Morphological associative memories. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Cheng-Wen Wu Testing Embedded Memories: Is BIST the Ultimate Solution? Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Anders Landin, Mattias Karlgren A Study of the Efficiency of Shared Attraction Memories in Cluster-Based COMA Multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Mirjam Schönfeld, Jens Franzen, Markus Schwiegershausen, Peter Pirsch, Uwe Vehlies, Andreas Münzner The LISA design environment for the synthesis of array processors including memories for the data transfer and fault tolerance by reconfiguration and coding techniques. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Krishna M. Kavi, Ali R. Hurson, Phenil Patadia, Elizabeth Abraham, Ponnarasu Shanmugam Design of Cache Memories for Multi-Threaded Dataflow Architecture. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Phillip B. Gibbons, Ephraim Korach On Testing Cache-Coherent Shared Memories. Search on Bibsonomy SPAA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Yinan N. Shen, Fabrizio Lombardi Yield enhancement and manufacturing throughput of redundant memories by repairability/unrepairability detection. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF redundant memory, diagnosis, yield, repair, WSI
22Gérard D. Cohen Covering Radius and Writing on Memories. Search on Bibsonomy AAECC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Pinaki Mazumder, Janak H. Patel, W. Kent Fuchs Methodologies for testing embedded content addressable memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
21Conor Atkins, Benjamin Zi Hao Zhao, Hassan Jameel Asghar, Ian D. Wood, Mohamed Ali Kâafar Those Aren't Your Memories, They're Somebody Else's: Seeding Misinformation in Chat Bot Memories. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Conor Atkins, Benjamin Zi Hao Zhao, Hassan Jameel Asghar, Ian D. Wood, Mohamed Ali Kâafar Those Aren't Your Memories, They're Somebody Else's: Seeding Misinformation in Chat Bot Memories. Search on Bibsonomy ACNS (1) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Nairy Eivazy, Jan P. L. Peeters, Sandy Claes The Objects We Carry; The Memories We Share: Recollecting Collective Memories through Participatory Stop-motion with Personal Objects. Search on Bibsonomy PDC (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 5730 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license