The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PaCT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Andy J. Wellings Multiprocessors and the Real-Time Specification for Java. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiprocessors, SMP, RTSJ
23Alex Bobrek, JoAnn M. Paul, Donald E. Thomas Event-based re-training of statistical contention models for heterogeneous multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical contention modeling, simulation, performance modeling, heterogeneous multiprocessors
23Karl Fürlinger, Michael Gerndt, Jack J. Dongarra Scalability Analysis of the SPEC OpenMP Benchmarks on Large-Scale Shared Memory Multiprocessors. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shared Memory Multiprocessors, SPEC
23Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparing memory systems for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, chip multiprocessors, locality optimizations, coherent caches
23Engin Ipek, Meyrem Kirman, Nevin Kirman, José F. Martínez Core fusion: accommodating software diversity in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, reconfigurable architectures, software diversity
23Neal K. Bambha, Shuvra S. Bhattacharyya Joint Application Mapping/Interconnect Synthesis Techniques for Embedded Chip-Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, task graphs, interconnect synthesis, Embedded multiprocessors
23JoAnn M. Paul, Donald E. Thomas, Andrew S. Cassidy High-level modeling and simulation of single-chip programmable heterogeneous multiprocessors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF schedulers, Computer-aided design, performance modeling, system modeling, heterogeneous multiprocessors
23Guilin Chen, Mahmut T. Kandemir Optimizing inter-processor data locality on embedded chip multiprocessors. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF chip multiprocessors, data locality, stencil computation
23Corey Goldfeder Frequency-based code placement for embedded multiprocessors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF frequent code, embedded systems, caching, multiprocessors, memory, code placement
23Wlodzimierz M. Zuberek Enhanced Interleaved Multithreaded Multiprocessors and Their Performance Analysis. Search on Bibsonomy ACSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Interleaved multithreaded architectures, performance analysis, timed Petri nets, distributed-memory multiprocessors, event-driven simulation
23Mahmut T. Kandemir, Ozcan Ozturk 0001, Mustafa Karaköy Dynamic on-chip memory management for chip multiprocessors. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chip multiprocessors, optimizing compiler, memory bank
23Gene Eu Jan, Yuan-Shin Hwang An Efficient Algorithm for Perfect Load Balancing on Hypercube Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dimension exchange, regular distributions, token distribution problem, load balancing, multiprocessors, hypercube
23Sanjoy K. Baruah, Joël Goossens Rate-Monotonic Scheduling on Uniform Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Uniform multiprocessors, periodic tasks, global scheduling, rate-monotonic algorithm, static priorities
23Mainak Chaudhuri, Mark A. Heinrich, Chris Holt, Jaswinder Pal Singh, Edward Rothberg, John L. Hennessy Latency, Occupancy, and Bandwidth in DSM Multiprocessors: A Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF flexible node controller, latency, bandwidth, queuing model, Occupancy, distributed shared memory multiprocessors, communication controller
23Shaz Qadeer Verifying Sequential Consistency on Shared-Memory Multiprocessors by Model Checking. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF specifying and verifying and reasoning about programs, model checking, verification, multiprocessors, Logic design
23Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin Quantifying instruction criticality for shared memory multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF shared memory multiprocessors, slack, critical path analysis
23Wlodzimierz M. Zuberek Approximate Simulation of Distributed-Memory Multithreaded Multiprocessors. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance analysis, discrete-event simulation, timed Petri nets, distributed-memory multiprocessors, block multithreading, approximate models
23Iffat H. Kazi, David J. Lilja Coarse-Grained Thread Pipelining: A Speculative Parallel Execution Model for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Runtime parallelization, thread pipelining, superthreaded architecture, shared-memory multiprocessors, speculative execution, coarse-grained parallelization
23Wai-Sum Lin, Rynson W. H. Lau, Kai Hwang 0001, Xiaola Lin, Paul Y. S. Cheung Adaptive Parallel Rendering on Multiprocessors and Workstation Clusters. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF polygon rasterization, MPI programming, speedup and efficiency and scalable performance, load balancing, Computer graphics, symmetric multiprocessors, parallel rendering, cluster of workstations, supersampling
23Po-Jen Chuang, Chih-Ming Wu An Efficient Recognition-Complete Processor Allocation Strategy for k-ary n-cube Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Full subcube recognition, internal and external fragmentation, k-ary n-cube multiprocessors, performance evaluation, time complexity, processor allocation
23Yeimkuan Chang, Laxmi N. Bhuyan An Efficient Tree Cache Coherence Protocol for Distributed Shared Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF tree-based directory protocols, shared memory, Cache coherence, execution-driven simulation, large scale multiprocessors
23Yu-Kwong Kwok, Ishfaq Ahmad FASTEST: A Practical Low-Complexity Algorithm for Compile-Time Assignment of Parallel Programs to Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF random neighborhood search, parallel algorithm, parallel processing, multiprocessors, Automatic parallelization, task graphs, compile-time scheduling, parallel programming tool
23Yu-Kwong Kwok, Ishfaq Ahmad Static scheduling algorithms for allocating directed task graphs to multiprocessors. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel processing, software tools, multiprocessors, DAG, automatic parallelization, task graphs, static scheduling
23Jim Nilsson, Fredrik Dahlgren Improving Performance of Load-Store Sequences for Transaction Processing Workloads on Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF load-store sequences, performance evaluation, databases, operating systems, multiprocessors, computer architecture, transaction processing, cache coherence protocols
23Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Performance Evaluation and Cost Analysis of Cache Protocol Extensions for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF competitive-update protocols, write caches, performance evaluation, prefetching, Shared-memory multiprocessors, cache-coherence protocols
23Lars Lundberg, Håkan Lennerstad Using Recorded Values for Bounding the Minimum Completion Time in Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Parallel program scheduling, optimal performance bounds, multiprocessors with clusters, synchronizing processes, information from previous executions
23Abdul Waheed, Jerry C. Yan Performance Modeling and Measurement of Parallelized Code for Distributed Shared Memory Multiprocessors. Search on Bibsonomy MASCOTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF shared memory multiprocessors and cache performance, parallelization, Performance modeling, performance measurement
23Yu-Kwong Kwok, Ishfaq Ahmad A Parallel Algorithm for Compile-Time Scheduling of Parallel Programs on Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF parallel randomized algorithm, PFAST algorithm, parallel fast assignment, parallel random search technique, computer-aided parallelization, computer-aided scheduling tool, CASCH tool, running time constraints, parallel programming, parallel programs, multiprocessors, time complexity, directed acyclic graphs, execution times, linear-time algorithm, Intel Paragon, compile-time scheduling
23Ramakrishnan Rajamony, Alan L. Cox Optimally Synchronizing DOACROSS Loops on Shared Memory Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synchronization, shared memory multiprocessors, Doacross loops
23Jonas Skeppstedt Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis
23Anand Sivasubramaniam Reducing the Communication Overhead of Dynamic Applications on Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF dynamic communication behavior, invalidation-based protocols, receiver-initiated communication, write overheads, redundant updates, intelligent sender-initiated data transfer mechanisms, competitive update mechanism, scalability, geographical information systems, shared memory multiprocessors, shared memory systems, data transfer, communication overhead, temporal locality, spatial locality, shared memory architectures, shared address space, performance benefits, dynamic applications
23Liuxi Yang, Josep Torrellas Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors
23Nectarios Koziris, George K. Papakonstantinou, Panayotis Tsanakas Mapping nested loops onto distributed memory multiprocessors. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Chain grouping, low complexity method, index space partitioning, intercommunication requirements, distributed mesh connected architectures, minimum time displacement, discrete groups, optimal makespan, uniform chain, dependence vector, optimal hyperplane scheduling, intragroup computations, partitioned groups, processor utilisation, optimal hyperplane time schedule, distributed memory systems, communication delays, nested loops, distributed memory multiprocessors, loop iterations, space mapping, hyperplane method
23Ishfaq Ahmad, Yu-Kwong Kwok, Min-You Wu, Wei Shu Automatic Parallelization and Scheduling of Programs on Multiprocessors using CASCH. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Task Graphs Multiprocessors, Scheduling, Compiler, Software Tool, Code Generation, Program Parallelization
23Po-Jen Chuang, Chih-Ming Wu Processor Allocation in k-ary n-cube Multiprocessors. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Full subcube recognition, internal and external fragmentations, k-ary n-cube multiprocessors, performance evaluation, processor allocation
23Dannie Durand, Thierry Montaut, Lionel Kervella, William Jalby Impact of Memory Contention on Dynamic Scheduling on NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF NUMA multiprocessors, load balancing, Dynamic scheduling, memory performance, self-scheduling
23Manuel Mollar, Vicente Hernández Computing the Singular Values of the Product of Two Matrices in Distributed Memory Multiprocessors. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF product singular value decomposition, Kogbetliantz algorithm, plane rotations, Control theory, PVM, transputer, distributed memory multiprocessors, Parallel C
23Xiaotie Deng, Binhai Zhu A Randomized Algorithm for Voronoi Diagram of Line Segments on Coarse-Grained Multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF coarse grained multiprocessors, randomized parallel algorithm, local operations, global-operations, messages per processor, global data dependency, communication phases, parallel algorithms, computational complexity, computational geometry, probability, randomized algorithm, Voronoi diagram, parallel machines, computation time, line segments, randomised algorithms, random-access storage, CRCW PRAM model
23Ricardo Bianchini, Thomas J. LeBlanc, Jack E. Veenstra Categorizing Network Traffic in Update-Based Protocols on Scalable Multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Shared-memory multiprocessors, Network traffic, Coherence protocols
23Christoph Siegelin, Ciaran O'Donnell, Ulrich Finger Efficient Simulation of Multiprocessors through Finite State Machines. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF event-driven multiprocessor simulators, memory behaviour, cache behaviour, simulation, multiprocessors, finite state machines, finite state machines
23Roberto Giorgi, Cosimo Antonio Prete, Luigi M. Ricciardi, Gianpaolo Prina A Hybrid Approach to Trace Generation for Performance Evaluation of Shared-Bus Multiprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF software approach, user references, virtual-to-physical address translation, kernel reference stream, general-purpose machine, multitasking operating system, performance evaluation, performance evaluation, shared-memory multiprocessor, process scheduling, hybrid approach, trace generation, shared-bus multiprocessors
23Qiang Li, David B. Gustavson Fat-tree for local area multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF local area multiprocessors, LAMP, high-performance low-cost parallel computing, LAN-size area, remote data cache, high performance multiprocessor, point-to-point physical connections, high system throughput, fat-tree topology, cable length, link clock speeds, biCMOS chips, performance evaluation, parallel architectures, parallel architecture, multiprocessor interconnection networks, local area networks, latency, packet switching, packet switch, CMOS, shared memory systems, distributed memory systems, simulation results, cache storage, system buses, SCI, buffer requirements, distributed-shared-memory multiprocessor, scalable coherent interface
23Markus Schwiegershausen, Peter Pirsch A system level design methodology for the optimization of heterogeneous multiprocessors. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parametrizable processor modules, programmable processors, system level design methodology, optimization, real-time systems, image processing, linear programming, optimisation, integer programming, multiprocessing systems, heterogeneous systems, mixed integer linear programming, CAD tool, image processing algorithms, heterogeneous multiprocessors, mathematical framework
23Farnaz Mounes-Toussi, David J. Lilja Write buffer design for cache-coherent shared-memory multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies
23Alexandre E. Eichenberger, Santosh G. Abraham Modeling load imbalance and fuzzy barriers for scalable shared-memory multiprocessors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fuzzy barriers, overall execution time, parallel region, nondeterministic load imbalance modelling, random replacement policy, processor caches, cyclic access stream, interprocessor synchronization, 64-processor KSR system, Kendall Square Research system, random first-level caches, performance evaluation, resource allocation, concurrency control, synchronisation, shared memory systems, cache storage, variance, performance improvement, network contention, hit ratio, scalable shared-memory multiprocessors
23Prasant Mohapatra, Chita R. Das, Tse-Yun Feng Performance Analysis of Cluster-Based Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cluster-based multiprocessors, M/D/1/L queues, finite buffer behavior, system level analysis, results validation, buffer length, bottleneck centre identification, design configurations, simulation, simulation, performance evaluation, performance analysis, interconnection network, throughput, multiprocessor interconnection networks, queueing theory, multiprocessing systems, buffer storage, queueing model, design alternatives, decomposition technique, subsystems, processor utilization, average delay, deterministic service time
23Syed Masud Mahmud Performance Analysis of Multilevel Bus Networks for Hierarchical Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multilevel bus networks, hierarchical multiprocessors, partial multiple bus system, bus architecture, hierarchical multiprocessor design, synchronous multilevel bus systems, asynchronous multilevel bus systems, hierarchical reference model, MVA algorithm, performance evaluation, fault tolerance, performance analysis, parallel architectures, connections, queueing theory, multiprocessing systems, analytical models, bandwidth, queueing networks, switches, simulation models, memory bandwidth, packet-switched networks, cost-effectiveness, system buses, local computations, memory modules
23David M. Koppelman Reducing PE/Memory Traffic in Multiprocessors by the Difference Coding of Memory Addresses. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF memory traffic, difference coding, memory addresses, shared memory parallel computer, trace-drivensimulation, traffic volume, lower cost, lower latency network, networklatency, virtual machines, multiprocessors, message passing, multiprocessor interconnection networks, memories, shared memory systems, storage management, buffer storage, processing elements, coherent cache
23Alvin R. Lebeck, Gurindar S. Sohi Request Combining in Multiprocessors with Arbitrary Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF arbitrary interconnection networks, shared memory location, combining set, processor elements, simulationresults, parallel architectures, virtual machines, multiprocessors, message passing, multiprocessor interconnection networks, shared memory systems, design space, hot spots, message routing, parallel access, classification scheme, combining strategies
23C. Selvakumar, C. Siva Ram Murthy Scheduling Precedence Constrained Task Graphs with Non-Negligible Intertask Communication onto Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF precedence constrained task graphs, scheduling, parallel algorithms, computational complexity, parallel program, graph theory, multiprocessors, multiprocessing systems, heuristic algorithm, heuristic programming, list scheduling, communication channels, multiprocessing programs, completion time, multiprocessor interconnectionnetworks, intertask communication, multiprocessor scheduling problem
23Sibabrata Ray, Hong Jiang, Jitender S. Deogun A parallel algorithm for mapping a special class of task graphs onto linear array multiprocessors. Search on Bibsonomy SAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF task graph partitioning, parallel algorithms, computational complexity, load balancing, multiprocessors
23Bülent Abali, Füsun Özgüner, Abdulla Bataineh Balanced Parallel Sort on Hypercube Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel selection algorithm, conflict-free routing, 16-node hypercube, hypercubenetworks, parallel algorithms, computational complexity, hypercube, sorting, parallel sort, hypercube multiprocessors
23Siddhartha Chatterjee Compiling Nested Data-Parallel Programs for Shared-Memory Multiprocessors. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compilers, shared-memory multiprocessors, data parallelism
23Anoop Gupta, Wolf-Dietrich Weber Cache Invalidation Patterns in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF cache invalidation patterns, high-level objects, conceptual tool, invalidation patterns, directory-based schemes, simulations, parallel programs, parallel programming, multiprocessing systems, shared-memory multiprocessors, digital simulation, buffer storage, data objects, classification scheme
23MenChow Chiang, Gurindar S. Sohi Evaluating Design Choices for Shared Bus Multiprocessors in a Throughput-Oriented Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF throughput-oriented environment, overall throughput, design choices, mean value analysis analytical models, trace-driven simulation analysis, cache block sizes, cache set associativity, multiprocessor throughput, performance evaluation, performance, multiprocessing systems, digital simulation, shared bus multiprocessors
23Shantanu Dutt, John P. Hayes Some Practical Issues in the Design of Fault-Tolerant Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF node-covering design, covering graphs, VLSI layout area minimization, distributed reconfiguration, software recovery, local spares, parallel algorithms, computational complexity, VLSI, graph theory, fault tolerant computing, multiprocessing systems, circuit layout CAD, incremental design, state information, fault-tolerant multiprocessors
23Chien-Min Wang, Sheng-De Wang Efficient Processor Assignment Algorithms and Loop Transformations for Executing Nested Parallel Loops on Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF processor assignment algorithms, nested parallel loops, performance, parallel algorithms, parallel programming, multiprocessors, program compilers, loop transformations, parallel processors, parallel execution
23Ravi Ganesan, Shlomo Weiss Scalar Memory References in Pipelined Multiprocessors: A Performance Study. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF scalar memory references, pipelined multiprocessors, high memory bandwidth, memory cycle, processor cycle time, bank reservation time, bank busy time, performance evaluation, probability, Markov chain, Markov processes, parallel machines, Markov models, storage management, simulation results, pipeline processing, state space, transition probabilities, pipelined computers, memory bank
23Krishnan Padmanabhan Design and Analysis of Even-Sized Binary Shuffle-Exchange Networks for Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF control tags, traffic capacity, binary shuffle-exchange networks, distributed tag-based controlalgorithm, stochastic environment, buffercapacity, performance evaluation, performance, architecture, multiprocessors, connectivity, multiprocessor interconnection networks, multiprocessing systems, structural properties, destination, source, dynamic properties, parallelarchitectures
23Ming-Syan Chen, Kang G. Shin, Dilip D. Kandlur Addressing, Routing, and Broadcasting in Hexagonal Mesh Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF hexagonal mesh multiprocessors, six-regular graphs, H-meshes, square meshes, routing, graph theory, broadcasting, hypercubes, multiprocessor interconnection network, multiprocessor interconnection networks, trees, addressing
23Vijay Balasubramanian, Prithviraj Banerjee Compiler-Assisted Synthesis of Algorithm-Based Checking in Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF compiler assisted synthesis, algorithm-based checking, Fortran DO loops, LINPACK routine, DGEFA, fault tolerant computing, concurrency control, multiprocessors, multiprocessing systems, matrix multiplication, linear transformations, nonlinear transformations
23Ming-Syan Chen, Kang G. Shin Subcube Allocation and Task Migration in Hypercube Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF binary reflected Gray code, BRGC, GC strategy, subcube recognition, extended binary code, EBC, extended Gray code, EGC, multiprocessing systems, task migration, hypercube multiprocessors, binary code, subcubes
23David Kotz, Carla Schlatter Ellis Prefetching in File Systems for MIMD Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF concurrent OS, disc caching, MIMD multiprocessors, interleaved file system, Butterfly Plus multiprocessor, I/O requests, performance evaluation, performance, parallel computation, caching, prefetching, multiprocessing systems, file systems, buffer storage, execution time, file organisation, hit ratio
23Donald F. Towsley, C. Gary Rommel, John A. Stankovic Analysis of Fork-Join Program Response Times on Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fork-join program response times, task scheduling processor sharing, job scheduling processor sharing, system parameter values, performance evaluation, performance, multiprocessors, multiprocessing systems
23Prithviraj Banerjee, Mark Howard Jones, Jeff S. Sargent Parallel Simulated Annealing Algorithms for Cell Placement on Hypercube Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF cell placement, two-dimensional area, n-dimensional hypercube, cell exchanges, cell displacements, parallel cost evaluation, tree broadcasting strategy, dynamic parallel annealing schedule, heuristic cell coloring, adaptive sequence control, Intel iPSC-2/D4/MX hypercube, performance evaluation, parallel algorithms, parallel algorithms, synchronization, simulated annealing, message passing, optimisation, errors, circuit layout CAD, distributed memory, cost function, distributed data structure, hypercube multiprocessors
23Kun-Lung Wu, W. Kent Fuchs, Janak H. Patel Error Recovery in Shared Memory Multiprocessors Using Private Caches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF processor transient faults, user-transparent checkpointing, checkpointed computation state, recovery stacks, rollback propagation, rapidrecovery, fault tolerance, fault tolerant computing, multiprocessor interconnection networks, multiprocessing systems, shared memory multiprocessors, system recovery, buffer storage, cache coherence protocols, performance degradation, processor utilization, private caches, error latency
23Vijay Balasubramanian, Prithviraj Banerjee Tradeoffs in the Design of Efficient Algorithm-Based Error Detection Schemes for Hypercube Multiprocessors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF algorithm-based error detection, 16-processor Intel iPSC-2/D4/MX, software engineering, multiprocessing systems, error detection, encoding, encoding, linear algebra, QR factorization, numerical linear algebra, hypercube multiprocessors, sum-of-squares, checksum
23Bohdan L. Bodnar, A. C. Liu Modeling and Performance Analysis of Single-Bus Tightly-Coupled Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF global performance metrics, single-bus tightly-coupled multiprocessors, hierarchical stochastic queuing model, single queue/server pair, probabilistic task migration, CPU sensitivity analysis, performance evaluation, modelling, performance analysis, shared memory, multiprocessing systems, processing elements
23Jih-Kwon Peir, Ron Cytron Minimum Distance: A Method for Partitioning Recurrences for Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF partitioning recurrences, nonvectorizable uniform recurrences, totally independent computations, clusters, multiprocessors, computer networks, multiprocessing systems, parallel execution, numerical stability, minimum distance
23Seth Abraham, Krishnan Padmanabhan Performance of the Direct Binary n-Cube Network for Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF direct binary n-cube network, equiprobable distribution, message destinations, simulations, performance evaluation, broadcasts, multiprocessors, multiprocessor interconnection networks, packet switching, performance prediction, inferences, mathematical model, hot spots, crossbar
23Thomas E. Anderson, Edward D. Lazowska, Henry M. Levy The Performance Implications of Thread Management Alternatives for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF performance implications, thread management, critical resource waiting, performance evaluation, data structure, data structures, latency, multiprocessing systems, shared-memory multiprocessors, locking, operating systems (computers), fine-grained parallelism
23P. Sadayappan, V. Visvanathan Circuit Simulation on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF vector multiprocessor, sparse matrix solution, parallel processing, parallelization, shared-memory multiprocessors, digital simulation, circuit CAD, circuit simulator, parallel implementation
23Michel Dubois 0001 Throughput Analysis of Cache-Based Multiprocessors with Multiple Buses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF shared interleaved memory, cache-based multiprocessors, general-purpose computing, dynamic instruction mix statistics, performance evaluation, performance, throughput, multiprocessing systems, buffer storage, multitasking, private cache, multiple buses
23Tomás Lang, Mateo Valero, Ignacio Alegre Bandwidth of Crossbar and Multiple-Bus Connections for Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF multiprocessors, shared memory, memory bandwidth, Bus arbitration, multiple buses
22Daniel R. Johnson, Matthew R. Johnson 0003, John H. Kelm, William Tuohy, Steven S. Lumetta, Sanjay J. Patel Rigel: A 1, 024-Core Single-Chip Accelerator Architecture. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Multiple data-stream architectures (multiprocessors), multiple data processors, single-chip multiprocessors, parallel architectures, multicore, parallel processors, multiple instruction
22James R. Larus Compiling for Shared-Memory and Message-Passing Computers. Search on Bibsonomy LOPLAS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF directory protocols, message-passing multiprocessors, compilers, shared-memory multiprocessors, cache coherence, memory systems, parallel programming languages
20Peter Sewell Memory, an elusive abstraction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF semantics, relaxed memory models
20Seungrok Jung, Jungsoo Kim, Sangkwon Na, Chong-Min Kyung Energy-aware instruction-set customization for real-time embedded multiprocessor systems. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DVFS, instruction set extensions, configurable processors
20Manoj Gupta, Mayank Gupta, Neeraj Goel, M. Balaksrishnan Energy Based Design Space Exploration of Multiprocessor VLIW Architectures. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Andrew A. Chien Pervasive parallel computing: an historic opportunity for innovation in programming and architecture. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Riad Ben Mouhoub, Omar Hammami Multiprocessor on chip: beating the simulation wall through multiobjective design space exploration with direct execution. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Abhik Roychoudhury Formal Reasoning about Hardware and Software Memory Models. Search on Bibsonomy ICFEM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Panagiotis E. Hadjidoukas, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou Integrating MPI and Nanothreads Programming Model. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Clusters, MPI, Multithreading, OpenMP, Runtime Systems
20Sandhya Dwarkadas, Kourosh Gharachorloo, Leonidas I. Kontothanassis, Daniel J. Scales, Michael L. Scott, Robert Stets Comparative Evaluation of Fine- and Coarse-Grain Approaches for Software Distributed Shared Memory. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Donald Yeung, John Kubiatowicz, Anant Agarwal MGS: A Multigrain Shared Memory System. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Phillip B. Gibbons, Ephraim Korach On Testing Cache-Coherent Shared Memories. Search on Bibsonomy SPAA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20J. Gregory Morrisett, Andrew P. Tolmach Procs and Locks: A Portable Multiprocessing Platform for Standard ML of New Jersey. Search on Bibsonomy PPoPP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Standard ML
20Hsin-Chu Chen Parallel SAS multicluster algorithms for solving linear systems with reflexive coefficient matrices. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Pradeep K. Dubey, George B. Adams III, Michael J. Flynn Spectrum of choices: superpipelined, superscalar, or multiprocessor? Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Richard P. LaRowe Jr., Carla Schlatter Ellis, Laurence S. Kaplan The Robustness of NUMA Memory Management. Search on Bibsonomy SOSP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Anant Agarwal, Mathews Cherian Adaptive Backoff Synchronization Techniques. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20David L. Black 0001, Richard F. Rashid, David B. Golub, Charles R. Hill, Robert V. Baron Translation Lookaside Buffer Consistency: A Software Approach. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF UNIX
20Ralph Butler, Nicholas T. Karonis Exploitation of Parallelism in Prototypical Deduction Problems. Search on Bibsonomy CADE The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
20Richard F. Rashid, Avadis Tevanian, Michael Young, David B. Golub, Robert V. Baron, David L. Black 0001, William J. Bolosky, Jonathan Chew Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Pramod Subramanyan, Virendra Singh, Kewal K. Saluja, Erik Larsson Energy-efficient redundant execution for chip multiprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF redundant execution, microarchitecture, transient faults, permanent faults
18Abhishek Bhattacharjee, Margaret Martonosi Inter-core cooperative TLB for chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallelism, prefetching, translation lookaside buffer
18Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang SHARP control: controlled shared cache management in chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 Optimizing shared cache behavior of chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Chi-Keung Luk, Sunpyo Hong, Hyesoon Kim Qilin: exploiting parallelism on heterogeneous multiprocessors with adaptive mapping. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive, GPU, mapping, heterogeneous, multicore, dynamic compilation
Displaying result #201 - #300 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license