The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for processor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1963 (19) 1964-1966 (16) 1967-1968 (38) 1969 (17) 1970 (17) 1971 (18) 1972 (20) 1973 (28) 1974 (54) 1975 (27) 1976 (90) 1977 (64) 1978 (70) 1979 (48) 1980 (74) 1981 (77) 1982 (126) 1983 (110) 1984 (108) 1985 (137) 1986 (192) 1987 (214) 1988 (274) 1989 (290) 1990 (372) 1991 (311) 1992 (325) 1993 (348) 1994 (382) 1995 (541) 1996 (509) 1997 (560) 1998 (454) 1999 (672) 2000 (756) 2001 (718) 2002 (952) 2003 (1177) 2004 (1365) 2005 (1596) 2006 (1707) 2007 (1708) 2008 (1614) 2009 (1181) 2010 (660) 2011 (554) 2012 (494) 2013 (501) 2014 (427) 2015 (456) 2016 (470) 2017 (416) 2018 (418) 2019 (460) 2020 (399) 2021 (428) 2022 (386) 2023 (419) 2024 (74)
Publication types (Num. hits)
article(7025) book(16) data(1) incollection(53) inproceedings(18549) phdthesis(278) proceedings(16)
Venues (Conferences, Journals, ...)
IPDPS(464) IEEE Trans. Computers(447) DATE(392) CoRR(368) ISCAS(348) ISCA(344) DAC(331) IEEE Trans. Parallel Distribut...(324) ICASSP(295) IEEE J. Solid State Circuits(284) MICRO(270) ICCD(252) FPL(249) IEEE Trans. Very Large Scale I...(248) IEEE Micro(233) ASAP(228) More (+10 of total 2714)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 20075 occurrences of 5412 keywords

Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23A. Duksu Oh, Hyeong-Ah Choi Generalized Measures of Fault Tolerance in n-Cube Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF n-cube network, nonfaulty processor, fault tolerance, multiprocessor interconnection networks, processor failures, fault tolerantcomputing
23Kunihiro Asada, Taku Sogabe, Toru Nakura, Makoto Ikeda Measurement of power supply noise tolerance of self-timed processor. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Abdulah Abdulah Zadeh High performance synchronized dual elliptic curve crypto-processor. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Vimal K. Reddy, Eric Rotenberg Coverage of a microarchitecture-level fault check regimen in a superscalar processor. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ying Zhang 0032, Xuejun Yang, Guibin Wang, Ian Rogers, Gen Li 0002, Yu Deng 0001, Xiaobo Yan Scientific Computing Applications on a Stream Processor. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Meilian Xu, Parimala Thulasiraman Finite-difference time-domain on the cell/B.E. processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Xunying Zhang, Xubang Shen A Power-Efficient Floating-Point Co-processor Design. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ming-che Lai, Jianjun Guo, Zhuxi Zhang, Zhiying Wang Using an Automated Approach to Explore and Design a High-Efficiency Processor Element for the Multimedia Domain. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Guilin Chen, Mahmut T. Kandemir An Approach for Enhancing Inter-processor Data Locality on Chip Multiprocessors. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Paul Gratz, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Robert G. McDonald, Stephen W. Keckler, Doug Burger Implementation and Evaluation of a Dynamically Routed Processor Operand Network. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Hossein Pourreza, Peter Graham On the Programming Impact ofMulti-Core, Multi-Processor Nodes inMPI Clusters. Search on Bibsonomy HPCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Tai-Yi Huang, Yu-Che Tsai, Edward T.-H. Chu A Near-optimal Solution for the Heterogeneous Multi-processor Single-level Voltage Setup Problem. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Götz Kappen, S. el Bahri, O. Priebe, Tobias G. Noll Evaluation of a Tightly Coupled ASIP / Co-Processor Architecture Used in GNSS Receivers. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Ming-che Lai, Jianjun Guo, Lv Yasuai, Kui Dai, Zhiying Wang 0003 The Research of an Embedded Processor Element for Multimedia Domain. Search on Bibsonomy MCAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Pavel Krcál, Martin Stigge, Wang Yi 0001 Multi-processor Schedulability Analysis of Preemptive Real-Time Tasks with Variable Execution Times. Search on Bibsonomy FORMATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Christopher Kachris, Stamatis Vassiliadis Analysis of a reconfigurable network processor. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Weiwei Ma, M. E. Kaye, D. M. Luke, R. Doraiswami An FPGA-Based Singular Value Decomposition Processor. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Cristian Constantinescu Dependability evaluation of a fault-tolerant processor by GSPN modeling. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Emre Özer 0001, Thomas M. Conte High-Performance and Low-Cost Dual-Thread VLIW Processor Using Weld Architecture Paradigm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreaded processors, VLIW architectures, modeling of computer architecture
23Julita Corbalán, Xavier Martorell, Jesús Labarta Performance-Driven Processor Allocation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Operating system algorithms, performance analysis, OpenMP, multiprocessor scheduling, runtime analysis
23Alexandre E. Eichenberger, Kathryn M. O'Brien, Kevin O'Brien, Peng Wu 0001, Tong Chen 0001, Peter H. Oden, Daniel A. Prener, Janice C. Shepherd, Byoungro So, Zehra Sura, Amy Wang, Tao Zhang, Peng Zhao, Michael Gschwind Optimizing Compiler for the CELL Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Makoto Okada, Tatsuo Hiramatsu, Hiroshi Nakajima, Makoto Ozone, Katsunori Hirase, Shinji Kimura A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Min Li, Xiaobo Wu, Zihua Guo, Richard Yao, Xiaolang Yan Processor Load Analysis for Mobile Multimedia Streaming: The Implication of Power Reduction. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-based delay fault self-testing of pipelined processor cores. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Ahmet Bindal, Silvio Brugada, T. Ha, Willie Sana, Mandeep Singh, Vinilkant Tejaswi, David Wyland A Simple Micro-Threaded Data-Driven Processor. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Greg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott, Steven G. Dropsho, Sandhya Dwarkadas Hiding Synchronization Delays in a GALS Processor Microarchitecture. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Nozomu Togawa, Koichi Tachikake, Yuichiro Miyaoka, Masao Yanagisawa, Tatsuo Ohtsuki Instruction set and functional unit synthesis for SIMD processor cores. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Hans Eberle, Nils Gura, Sheueling Chang Shantz, Vipul Gupta, Leonard Rarick, Shreyas Sundaram A Public-Key Cryptographic Processor for RSA and ECC. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Maya B. Gokhale, Janette Frigo, Kevin McCabe, James Theiler, Christophe Wolinski, Dominique Lavenier Experience with a Hybrid Processor: K-Means Clustering. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF configurable system on a chip, CSOC, Excalibur, FPGA, image processing, k-means clustering
23Nektarios Kranitis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Low-Cost Software-Based Self-Testing of RISC Processor Cores. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Minoru Fujishima, Kaoru Saito, M. Onouchi, Koichiro Hoh High-speed processor for quantum-computing emulation and its applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Rohini Krishnan, Om Prakash Gangwal, Jos T. J. van Eijndhoven, Anshul Kumar Design of a 2D DCT/IDCT application specific VLIW processor supporting scaled and sub-sampled blocks. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Christopher W. Milner, Jack W. Davidson Quick piping: a fast, high-level model for describing processor pipelines. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded systems, pipelines, modeling of computer architecture
23Fan Zhang 0097, Samuel T. Chanson Processor Voltage Scheduling for Real-Time Tasks with Non-Preemptible Sections. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23David Parello, Olivier Temam, Jean-Marie Verdun On increasing architecture awareness in program optimizations to bridge the gap between peak and sustained processor performance: matrix-multiply revisited. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Craig B. Zilles, Gurindar S. Sohi A Programmable Co-Processor for Profiling. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23S.-M. Kim, Sathiamoorthy Manoharan A Parallel Processor Architecture for Prefetching. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Nozomu Togawa, Takashi Sakurai, Masao Yanagisawa, Tatsuo Ohtsuki A Hardware/Software Partitioning Algorithm for Processor Cores of Digital Signal Processing. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Roberto Sarmiento, V. de Armas, José Francisco López, Juan A. Montiel-Nelson, Antonio Núñez A CORDIC processor for FFT computation and its implementation using gallium arsenide technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Koray Öner, Michel Dubois 0001 Effects of Memory Latencies on Non-Blocking Processor/Cache Architectures. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF SPARC
23Andrew Wolfe, John Paul Shen Flexible processors: a promising application-specific processor design approach. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF
23Richard W. Moulton Measurement of processor occupancy in a cyclic non-preemptive real-time control system. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
23Mikiko Sato, Yuji Sato, Mitaro Namiki Proposal of a multi-core processor architecture for effective evolutionary computation. Search on Bibsonomy GECCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF genegic algorithms, parallel computing, evolutionary computation, multi-core processor
23Tanya René Beelders, Pieter J. Blignaut, Theo McDonald, Engela Dednam Measuring User Performance for Different Interfaces Using a Word Processor Prototype. Search on Bibsonomy HCI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF word processor, text buttons, Usability, localization, icons
23Hamid Noori, Farhad Mehdipour, Kazuaki J. Murakami, Koji Inoue, Morteza Saheb Zamani An architecture framework for an adaptive extensible processor. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reconfigurable functional unit, Profiling, Temporal partitioning, Custom instruction, Extensible processor, Similarity detection
23Jih-Woei Huang, Chih-Ping Chu A flexible processor mapping technique toward data localization for block-cyclic data redistribution. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPI, Data distribution, HPF, Distributed memory multicomputers, Data-parallel programming, Processor mapping
23Carsten Albrecht, Philipp Roß, Roman Koch, Thilo Pionteck, Erik Maehle Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network Co-processor, SoC Interconnect, Run-Time Reconfiguration
23Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee A hybrid software-based self-testing methodology for embedded processor. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processor testing, fault coverage, functional testing, software-based self-test
23Daniele Paolo Scarpazza, Oreste Villa, Fabrizio Petrini Exact multi-pattern string matching on the cell/b.e. processor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF matching, string, cell processor
23Yeim-Kuan Chang, Ming-Li Tsai, Yu-Ru Chung Multi-Character Processor Array for Pattern Matching in Network Intrusion Detection System. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF intrusion detection, pattern matching, processor array, Snort
23Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum Dynamic register file resizing and frequency scaling to improve embedded processor performance and energy-delay efficiency. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic resizing, performance, embedded processor, register file
23Donghyun Kim, Kwanho Kim, Joo-Young Kim 0001, Seungjin Lee 0001, Hoi-Jun Yoo Vision platform for mobile intelligent robot based on 81.6 GOPS object recognition processor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF object recognition, network-on-chip, multi-processor SoC
23Chih-Chi Cheng, Chia-Hua Lin, Chung-Te Li, Samuel C. Chang, Liang-Gee Chen iVisual: an intelligent visual sensor SoC with 2790fps CMOS image sensor and 205GOPS/W vision processor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF vision processor, VLSI, video analysis, SIMD, intelligent sensor
23Xian-He Sun, Surendra Byna, Yong Chen 0001 Server-Based Data Push Architecture for Multi-Processor Environments. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, evaluation, performance measurement, cache memory, simulation of multiple-processor system
23G. Edward Suh, Charles W. O'Donnell, Srinivas Devadas Aegis: A Single-Chip Secure Processor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Aegis, FPGA, architecture, secure processor, single chip
23Jing Fu 0003, Olof Hagsand, Gunnar Karlsson Queuing Behavior and Packet Delays in Network Processor Systems. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF queueing behavior, network processor, router
23ZhiLei Chai, Wenke Zhao, Wenbo Xu 0001 Real-time Java processor optimized for RTSJ. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Real-time Java platform, Real-time Java processor, Java Virtual Machine (JVM), Real-time specification for Java (RTSJ), Worst Case Execution Time (WCET)
23Je-Hoon Lee, Seung-Sook Lee, Kyoung-Rok Cho Asynchronous ARM Processor Employing an Adaptive Pipeline Architecture. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive pipeline, processor, Asynchronous design
23Vivienne Sze, Anantha P. Chandrakasan A 0.4-V UWB baseband processor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF baseband processor, parallelism, ultra-wideband, ultra-low voltage
23Ying Zhang 0032, Tao Tang 0001, Gen Li 0002, Xuejun Yang Implementation and Optimization of Dense LU Decomposition on the Stream Processor. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF producer-consumer locality, stream, scientific computing, kernels, stream processor, LU decomposition
23Ying Zhang 0032, Gen Li 0002, Xuejun Yang Implementing and Optimizing a Data-Intensive Hydrodynamics Application on the Stream Processor. Search on Bibsonomy ICCSA (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data-intensive scientific computing, kernel join, loop-carried stream reusing, stream transpose, stream processor
23Xin Li 0020, Reinhard von Hanxleden A concurrent reactive Esterel processor based on multi-threading. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multithreading, processor architecture, synchronous languages, esterel
23Sanket Shah, Tularam M. Bansod, Amit Singh Design and Implementation of a Network Processor Based 10Gbps Network Traffic Generator. Search on Bibsonomy ICDCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Network Processor, System Testing, High Throughput, Traffic Generator
23Victor M. Goulart Ferreira, Lovic Gauthier, Takayuki Kando, Takuma Matsuo, Toshihiko Hashinaga, Kazuaki J. Murakami REDEFIS: a system with a redefinable instruction set processor. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ISA customization, dynamically reconfigurable processor, low power, SoC, high performance
23Raj Varada, Mysore Sriram, Kris Chou, James Guzzo Design and integration methods for a multi-threaded dual core 65nm Xeon® processor. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Xeon®, Integration, Design Methods, processor
23Seiji Maeda, Shigehiro Asano, Tomofumi Shimada, Koichi Awazu, Haruyuki Tago A Real-Time Software Platform for the Cell Processor. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF real-time resource scheduler, Scalability, Consumer electronics, Cell processor
23Jan-Willem van de Waerdt, Gerrit A. Slavenburg, Jean-Paul van Itegem, Stamatis Vassiliadis Motion estimation performance of the TM3270 processor. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF motion estimation, software implementation, media processor
23BoonPing Lim, Md. Safi Uddin Statistical-Based SYN-Flooding Detection Using Programmable Network Processor. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-parametric CUSUM, token bucket filtering, network security, network processor, SYN-flooding
23Xianghui Hu, Bei Hua, Xinan Tang TrieC: A High-Speed IPv6 Lookup with Fast Updates Using Network Processor. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IPv6 lookup, prefix expansion, routing, parallel programming, Network processor, embedded system design
23Thomas Bonald, Alexandre Proutière On Stochastic Bounds for Monotonic Processor Sharing Networks. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor sharing networks, stochastic bounds, monotonicity, balance, insensitivity
23Rashindra Manniesing, Richard P. Kleihorst, André van der Avoird, Emile A. Hendriks Power Analysis of a General Convolution Algorithm Mapped on a Linear Processor Array. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel processing, VLSI design, power estimation, linear processor array
23Jason Cong, Yiping Fan, Guoling Han, Zhiru Zhang Application-specific instruction generation for configurable processor architectures. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF binate covering, compilation, ASIP, technology mapping, configurable processor
23Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Understanding the effects of wrong-path memory references on processor performance. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF processor performance analysis, wrong path modeling, wrong-path memory references, speculative execution, data prefetching, execution-driven simulation, cache pollution
23Toshiyuki Ito, Kentaro Ono, Mayumi Ichikawa, Yuichi Okuyama, Kenichi Kuroda Reconfigurable Instruction-Level Parallel Processor Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ILP Processor, PCA, dynamical reconfigurability, VLIW, self-reconfigurability
23Chih-Jen Yen, Mely Chen Chi, Wen-Yaw Chung, Shing-Hao Lee A 0.75-mW analog processor IC for wireless biosignal monitor. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF analog processor, biosignal monitor, wireless, IC
23Byung S. Yoo, Chita R. Das A Fast and Efficient Processor Allocation Scheme for Mesh-Connected Multicomputers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Allocation overhead, complete submesh recognition ability, stack-based allocation algorithm, processor allocation, mesh-connected multicomputers
23Piia Simonen, Ilkka Saastamoinen, Mika Kuulusa, Jari Nurmi Advanced Instruction Set Architectures for Reducing Program Memory Usage in a DSP Processor. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction memory, memory compression, ISA, DSP processor
23Jiyang Kang, Jongbok Lee, Wonyong Sung A Compiler-Friendly RISC-Based Digital Signal Processor Synthesis and Performance Evaluation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF code converter, compiler-friendly, performance evaluation, digital signal processor, architecture synthesis
23Itsuo Takanami Built-in Self-Reconfiguring Systems for Fault Tolerant Mesh-Connected Processor Arrays by Direct Spare Replacement. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF built-in selft-reconfiguration, digital neural circuit, direct spare replacement, fault-tolerance, mesh-connected processor array
23Keqin Li 0001, Yi Pan 0001 Probabilistic Analysis of Scheduling Precedence Constrained Parallel Tasks on Multicomputers with Contiguous Processor Allocation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Average-case performance ratio, binary system partitioning, contiguous processor allocation, largest-task-first, task scheduling, probabilistic analysis, precedence constraint, parallel task
23Vera P. Behar, Christo A. Kabakchiev, Lyubka Doukovska Adaptive CFAR PI Processor for Radar Target Detection in Pulse Jamming. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CFAR API processor, detection in pulse jamming, target detection performance calculation, parallel algorithms, systolic architecture
23Marinés Puig-Medina, Gülbin Ezer, Pavlos Konas Verification of configurable processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable processor cores, system-on-chip, test generation, design verification, co-simulation, coverage analysis
23Virginia Mary Lo, Kurt J. Windisch, Wanqian Liu, Bill Nitzberg Noncontiguous Processor Allocation Algorithms for Mesh-Connected Multicomputers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF noncontiguous, scheduling, Resource management, mesh, fragmentation, processor allocation
23Kumar N. Ganapathy, Benjamin W. Wah, Chien-Wei Li Designing a Scalable Processor Array for Recurrent Computations. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Access unit, affine dependencies, area index, clock-rate reduction, multimesh graph, uniform dependencies, scheduling, partitioning, memory bandwidth, processor array, dependence graph
23Dileep Bhandarkar, Jianxun Jason Ding Performance Characterization of the Pentium(r) Pro Processor. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Pentium® Pro processor, SPEC CPU95, SYSmark/NT, performance evaluation, computer architecture, workload characterization, speculative execution, out of order execution
23Shinji Kimura, Yasufumi Itou, Makoto Hirao, Katsumasa Watanabe, Mitsuteru Yukishita, Akira Nagoya A Hardware/Software Codesign Method for a General Purpose Reconfigurable Co-Processor. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware/software co-operation, a computer architecture using FPGA, bus-based reconfigurable co-processor architecture, high-level synthesis and optimization, C compiler to hardware modules
23Georg Färber, Franz Fischer, Thomas Kolloch, Annette Muth Improving processor utilization with a task classification model based application specific hard real-time architecture. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF task classification model, application specific hard real-time architecture, real-time architecture, target architecture framework, tightly coupled heterogeneous multiprocessor system, rapid prototyping platform, caches, pipelines, microprocessors, templates, schedulability analysis, execution times, software prototyping, hard real time systems, processor utilization
23Adam Postula, David Abramson 0001, Paul Logothetis The Design of a Specialised Processor for the Simulation of Sintering A. Postula. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF specialised processor, sintering simulation, metallurgical sintering, commercially available gate array technology, Xilinx FPGA, Aptix FPIC switch technology, FPGAs, Monte-Carlo simulation, special purpose computers
23Joseph A. Fernando, Jack S. N. Jean Interfacing FPGA/VLSI Processor Arrays. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI Processor Array, FPGA Board, Array Compiler, Algorithm Mapping
23Michael J. Schulte, Earl E. Swartzlander Jr. A Processor for Staggered Interval Arithmetic. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer arithmetic, hardware, processor, Interval arithmetic, precision, application specific, numerical computations
23Giuseppe Ascia, Giuseppe Ficili, Daniela Panno Design of a VLSI fuzzy processor for ATM traffic sources management. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI fuzzy processor, ATM traffic sources management, traffic arrival, policing mechanism, fuzzy logic, Fuzzy Logic, asynchronous transfer mode, ATM networks, inference mechanisms, traffic control, fuzzy inferences, telecommunication congestion control, bottleneck, policing
23Prathima Agrawal, Antony Ng Computing Network Flow on a Multiple Processor Pipeline. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple processor pipeline, Goldberg-Tarjan algorithm, network graph, six processors, distributed algorithms, graph theory, network flow, pipeline processing, parallel implementations, performance estimates, maximum flow, partitioned algorithm, message-passing multicomputer
23Chris J. Scheiman, Peter R. Cappello A Period-Processor-Time-Minimal Schedule for Cubical Mesh Algorithms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF period-processor-time-minimal schedule, cubical mesh algorithms, precedence-constrained multiprocessor schedules, toroidally connected mesh, scheduling, parallel algorithms, computational complexity, multiprocessor interconnection networks, directed graphs, systolic arrays, systolic array, directed acyclic graph, matrix algebra, matrix product, computationalcomplexity
23Jens Braband Waiting Time Distributions for Processor Sharing Queues with State-Dependent Arrival and Service Rates. Search on Bibsonomy Computer Performance Evaluation The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple server queues, Processor sharing, waiting time distributions
23Yinong Chen, Winfried Bücken, Klaus Echtle Efficient Algorithms for System Diagnosis with Both Processor and Comparator Faults. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF processor faults, comparison-based self-diagnosis, multiprocessorsystems, comparator faults, O(mod E mod)/sup 2/ algorithm, computational complexity, fault tolerant computing, multiprocessing systems, system diagnosis
23Chien-Min Wang, Sheng-De Wang Efficient Processor Assignment Algorithms and Loop Transformations for Executing Nested Parallel Loops on Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF processor assignment algorithms, nested parallel loops, performance, parallel algorithms, parallel programming, multiprocessors, program compilers, loop transformations, parallel processors, parallel execution
23Biing-Feng Wang, Gen-Huey Chen Constant Time Algorithms for the Transitive Closure and Some Related Graph Problems on Processor Arrays with Reconfigurable Bus Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF related graph problems, reconfigurable bus systems, parallel algorithms, graph theory, minimum spanning trees, bipartite graphs, transitive closure, transitive closure, connected components, processor arrays, undirected graph, bridges, biconnected components, graph problems, articulation points
23Robert F. Cmelik, Narain H. Gehani, William D. Roome Experience with Multiple Processor Versions of Concurrent C. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF multiple processor versions, uniprocessor version, parallel programming, parallel programming, local area network, local area networks, multiprocessing systems, shared-memory multiprocessor, execution times, C language, Concurrent C, multiprocessing programs
Displaying result #201 - #300 of 25938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license