The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for registers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (17) 1968-1972 (15) 1973-1977 (18) 1978-1980 (17) 1981-1984 (20) 1985-1986 (27) 1987 (19) 1988 (35) 1989 (24) 1990 (40) 1991 (25) 1992 (39) 1993 (36) 1994 (51) 1995 (77) 1996 (60) 1997 (59) 1998 (69) 1999 (73) 2000 (86) 2001 (95) 2002 (123) 2003 (133) 2004 (151) 2005 (159) 2006 (177) 2007 (191) 2008 (177) 2009 (104) 2010 (26) 2011 (32) 2012 (28) 2013 (26) 2014 (24) 2015 (27) 2016 (30) 2017 (25) 2018 (26) 2019 (35) 2020 (31) 2021 (41) 2022 (20) 2023 (30) 2024 (8)
Publication types (Num. hits)
article(745) book(1) incollection(13) inproceedings(1756) phdthesis(11)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2555 occurrences of 1182 keywords

Results
Found 2526 publication records. Showing 2526 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Joan L. Mitchell, Arianne T. Hinds Enhanced Parallel Processing in Wide Registers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Stephen Hines, Joshua Green, Gary S. Tyson, David B. Whalley Improving Program Efficiency by Packing Instructions into Registers. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Josef Makolm Registers as Part of Back Office Integration: The Austrian Experience. Search on Bibsonomy EGOV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Andreas Larsson, Anders Gidenstam, Phuong Hoai Ha, Marina Papatriantafilou, Philippas Tsigas Multi-word Atomic Read/Write Registers on Multiprocessor Systems. Search on Bibsonomy ESA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Matthias Pflanz, Karsten Walther, Christian Galke, Heinrich Theodor Vierhaus On-Line Techniques for Error Detection and Correction in Processor Registers with Cross-Parity Check. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cross-parity check, on-line BIST, multiple soft-error detection, self-repair
22Ali Kanso Clock-Controlled Shrinking Generator of Feedback Shift Registers. Search on Bibsonomy ACISP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chien-In Henry Chen, Kiran George Configurable two-dimensional linear feedback shifter registers for deterministic and random patterns [logic BIST]. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Chien-In Henry Chen, Kiran George Automated Synthesis of Configurable Two-dimensional Linear Feedback Shifter Registers for Random/Embedded Test Patterns. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Carole Delporte-Gallet, Hugues Fauconnier, Rachid Guerraoui Failure Detection Lower Bounds on Registers and Consensus. Search on Bibsonomy DISC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Markus Feldbach, Klaus D. Tönnies Segmentation of the Date in Entries of Historical Church Registers. Search on Bibsonomy DAGM-Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Handwriting recognition, document image processing, word segmentation
22Gautam Doshi, Rakesh Krishnaiyer, Kalyan Muthukumar Optimizing Software Data Prefetches with Rotating Registers. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Alexander Kholosha Clock-Controlled Shift Registers and Generalized Geffe Key-Stream Generator. Search on Bibsonomy INDOCRYPT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF key-stream generator, clock-controlled shift register, Geffe generator, cryptography
22Sandeepan Chowdhury, Subhamoy Maitra Efficient Software Implementation of Linear Feedback Shift Registers. Search on Bibsonomy INDOCRYPT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Block Oriented LFSR, Connection Polynomials, Stream Cipher
22Wei-Kai Cheng, Youn-Long Lin Code generation of nested loops for DSP processors with heterogeneous registers and structural pipelining. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF code generation, DSP
22Ting-Lu Huang Fast Mutual Exclusion Algorithms Using Read-Modify-Write and Atomic Read/Write Registers. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Vladimír R. Buzek, Mark Hillery Universal Optimal Cloning of Qubits and Quantum Registers. Search on Bibsonomy QCQC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF quantum cloning, quantum logic networks, inseparability
22Dusan Suvakovic, C. André T. Salama Guidelines for Use of Registers and Multiplexers in Low Power Low Voltage DSP Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, DSP, energy consumption, switching, multiplexer, low voltage, register, datapath
22Luis Villa, Roger Espasa, Mateo Valero Effective Usage of Vector Registers in Advanced Vector Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Willi Geiselmann, Dieter Gollmann Correlation Attacks on Cascades of Clock Controlled Shift Registers. Search on Bibsonomy ASIACRYPT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Jovan Dj. Golic Towards Fast Correlation Attacks on Irregularly Clocked Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Michiko Inoue, Wei Chen 0003 Linear-Time Snapshot Using Multi-writer Multi-reader Registers. Search on Bibsonomy WDAG The full citation details ... 1994 DBLP  DOI  BibTeX  RDF atomic snapshot, lattice agreement problem, shared memory system, linearizability, wait-free algorithm
22Jovan Dj. Golic, Luke O'Connor Embedding and Probabilistic Correlation Attacks on Clock-Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Thyagaraju R. Damarla, Avinash Sathaye Applications of one-dimensional cellular automata and linear feedback shift registers for pseudo-exhaustive testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Kazuhiko Iwasaki, Fumio Arakawa An analysis of the aliasing probability of multiple-input signature registers in the case of a 2m-ary symmetric channel. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Martin Rudolph Feedback-testing by using multiple input signature registers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Bult-in self-test, design for testability, test-pattern generation, testability analysis, MISR
22Yves Roggeman Varying Feedback Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Paul A. Karger Using Registers to Optimize Cross-Domain Call Performance. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF RISC
21Richard Hughey, Andrea Di Blas Finding the Next Computational Model: Experience with the UCSC Kestrel. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF biological sequence comparison, VLSI system design, application-specific array processor, parallel processing, parallel programming, computer architecture, systolic array, SIMD, sequence analysis, shared registers
21Craig A. Burton A thin client for networked access to a central register and electronic voting terminal. Search on Bibsonomy ICEGOV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-channel voting, multi-modal voting, systems pilots, voter registers, voter rolls, electronic voting, elections, internet voting
21Andrew Klapper The Asymptotic Behavior of pi-Adic Complexity with pi2 = - 2. Search on Bibsonomy SSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF N-adic complexity, Stream ciphers, Sequences, shift registers
21Anthony A. Philippakis, Aaron M. Qureshi, Michael F. Berger, Martha L. Bulyk Design of Compact, Universal DNA Microarrays for Protein Binding Microarray Experiments. Search on Bibsonomy RECOMB The full citation details ... 2007 DBLP  DOI  BibTeX  RDF de Bruijn sequences, protein binding microarrays, linear feedback shift registers, motif, transcription factor
21Sanjay Burman, Debdeep Mukhopadhyay, Kamakoti Veezhinathan LFSR Based Stream Ciphers Are Vulnerable to Power Attacks. Search on Bibsonomy INDOCRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Linear Feed Back Shift Registers, Dynamic Power Dissipation, Side Channel Attacks, Power Analysis, Hamming Distance
21Bin Zhang 0003, Dengguo Feng Security analysis of a new stream cipher. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF divide-and-conquer attack, non-linear feedback shift registers (NLFSR), stream cipher, chaotic sequence
21Guilin Chen, Mahmut T. Kandemir, Mary Jane Irwin, J. Ramanujam Reducing code size through address register assignment. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Software compilation, address registers, DSP, register assignment
21Desiree Ottoni, Guilherme Ottoni, Guido Araujo, Rainer Leupers Offset assignment using simultaneous variable coalescing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stack offset assignment, address registers, autoincrement addressing modes, variable coalescing, DSPs, register allocation
21Hong Xu 0008, Wen-Feng Qi 0001 On the Distinctness of Decimations of Generalized l-Sequences. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Feedback-with-carry shift registers (FCSRs), l-sequences, generalized l-sequences, integer residue ring, primitive sequences, 2-adic numbers
21Konstantinos Limniotis, Nicholas Kolokotronis, Nicholas Kalouptsidis Nonlinear Complexity of Binary Sequences and Connections with Lempel-Ziv Compression. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Lempel-Ziv compression, nonlinear complexity, Cryptography, sequences, nonlinear feedback shift registers
21Nicholas Kolokotronis, Konstantinos Limniotis, Nicholas Kalouptsidis Lower Bounds on Sequence Complexity Via Generalised Vandermonde Determinants. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF filter functions, linear feedbak shift registers, Vandermonde determinants, linear complexity, Binary sequences, symmetric functions
21Dimitri Kagaris Phase Shifter Merging. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Built-in Self-Test (BIST), cellular automata, linear feedback shift registers, Test Pattern Generation (TPG), phase shifters
21Maneli Noorkami, Faramarz Fekri A Fast Correlation Attack via Unequal Error Correcting LDPC Codes. Search on Bibsonomy CT-RSA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cryptanalysis, Stream ciphers, linear feedback shift registers, fast correlation attacks, LDPC codes
21Håvard Molland, Tor Helleseth An Improved Correlation Attack Against Irregular Clocked and Filtered Keystream Generators. Search on Bibsonomy CRYPTO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Irregular clocked shift registers, Boolean functions, Stream cipher, Correlation attack
21Dimitri Kagaris Multiple-Seed TPG Structures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-In Self-Test (BIST), Linear Feedback Shift Registers (LFSRs), Test Pattern Generation (TPG)
21Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos On-the-Fly Reseeding: A New Reseeding Technique for Test-Per-Clock BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test-per-clock schemes, accumulator-based test pattern generators, built-in self-test, linear feedback shift registers, reseeding
21Paul Camion, Miodrag J. Mihaljevic, Hideki Imai Two Alerts for Design of Certain Stream Ciphers: Trapped LFSR and Weak Resilient Function over GF(q). Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2002 DBLP  DOI  BibTeX  RDF linear feedback shift registers over GF(), nonlinear combination generator, cryptanalysis, resilient functions, keystream generators
21Philippe Chose, Antoine Joux, Michel Mitton Fast Correlation Attacks: An Algorithmic Point of View. Search on Bibsonomy EUROCRYPT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF match-and-sort, algorithmics, cryptanalysis, Stream ciphers, linear feedback shift registers, fast correlation attacks, parity-checks
21Palash Sarkar 0001 The Filter-Combiner Model for Memoryless Synchronous Stream Ciphers. Search on Bibsonomy CRYPTO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF synchronous stream ciphers, nonlinear filter model, nonlinear combiner model, filter-combiner model, cellular automata, linear feedback shift registers
21Miodrag J. Mihaljevic, Marc P. C. Fossorier, Hideki Imai Fast Correlation Attack Algorithm with List Decoding and an Application. Search on Bibsonomy FSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF nonlinear combiner, cryptanalysis, Stream ciphers, linear feedback shift registers, nonlinear filter, keystream generators
21Palash Sarkar 0001, Subhamoy Maitra Efficient Implementation of "Large" Stream Cipher Systems. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Boolean functions, Stream Ciphers, Cellular Automata, Linear Feedback Shift Registers, Reconfigurable Hardware, Pipelined Architecture
21Gianni Conte, Stefano Tommesani, Francesco Zanichelli The Long And Winding Road to High-Performance Image Processing with MMX/SSE. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SIMD on registers, high-performance image processing, image processing, image processing, instruction sets, real-time image processing, multimedia processing
21Miodrag J. Mihaljevic, Marc P. C. Fossorier, Hideki Imai A Low-Complexity and High-Performance Algorithm for the Fast Correlation Attack. Search on Bibsonomy FSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF stream ciphers, decoding, linear feedback shift registers, fast correlation attack, keystream generators
21Ondrej Novák Pseudorandom, Weighted Random and Pseudoexhaustive Test Patterns Generated in Universal Cellular Automata. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF linear cyclic codes, hardware test pattern generators, weighted random testing, Cellular automata, BIST, linear feedback shift registers, pseudoexhaustive testing
21Alessandro Panconesi, Marina Papatriantafilou, Philippas Tsigas, Paul M. B. Vitányi Randomized Naming Using Wait-Free Shared Variables. Search on Bibsonomy Distributed Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Naming problem, Unique process ID, Asynchronous distributed protocols, Wait-free read/write registers, Test-and-set objects, Fault-tolerance, Randomized algorithms, Shared memory, Atomicity, Symmetry breaking, Adaptive adversary
21Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu A comprehensive estimation technique for high-level synthesis. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area
21Eun-Hee Hyun, Sung-Hee Kim Real-time mobile data management using a minimal MMDB. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time mobile data management, minimal MMDB, main memory database system, mobile computational viewpoint, location registers, fast access methods, digital cellular system, real-time systems, middleware, database management systems, relational data model, client/server architecture, design considerations
21Kotaro Shimamura, Shigeya Tanaka, Tetsuya Shimomura, Takashi Hotta, Eiki Kamada, Hideo Sawamoto, Teruhisa Shimizu, Kisaburo Nakazawa A superscalar RISC processor with pseudo vector processing feature. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF superscalar RISC processor, pseudo vector processing, architectural extension, floating-point registers, scoreboard-based dependency check, pipeline stage optimization, 267 MFLOPS, 1.2 Gbyte/s, performance evaluation, performance, computer architecture, memory access, reduced instruction set computing, vector processor systems
21Marc Tremblay, Bill Joy 0001, Ken Shin A three dimensional register file for superscalar processors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF three dimensional register file, datapath component, three-scalar machine, 3D Register File, multiple planes, extra register sets, microtask switching, data array, ported register file, flat register file, bus lines, large buffer, simulations, performance evaluation, data structures, memory architecture, superscalar processors, file organisation, registers, access time, microcomputers, cycle time, real time tasks, superscalar microprocessor, superscalar microprocessors, register windows
21Siamak Arya, Howard Sachs, Sreeram Duvvuru An architecture for high instruction level parallelism. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution
21Nadime Zacharia, Janusz Rajski, Jerzy Tyszer Decompression of test data using variable-length seed LFSRs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test data decompression, variable-length seed LFSRs, deterministic test vectors, scan circuits, multiple polynomial LFSR, encoding efficiency, logic testing, built-in self test, integrated circuit testing, encoding, automatic testing, polynomials, linear feedback shift register, shift registers, modular design, digital integrated circuits
21Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
21Andrew Klapper, Mark Goresky Cryptanalysis Based on 2-Adic Rational Approximation. Search on Bibsonomy CRYPTO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cryptanalysis, Binary sequences, rational approximation, feedback with carry shift registers, 2-adic numbers
21Chunming Qiao, Rami G. Melhem Reconfiguration with Time Division Multiplexed MIN's for Multiprocessor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF MIN's, multiprocessor communications, TDM-MIN's, N time slots, n-dimensional hypercubes, Markov analysis, partition of connection requests, partitioning, mappings, reconfiguration, multiprocessor interconnection networks, embedding, meshes, NP-hard, multistage interconnection networks, optical interconnects, rings, binary trees, shift registers, time division multiplexing, time division multiplexed, round-robin, cube-connected-cycles
21Miodrag J. Mihaljevic, Jovan Dj. Golic Convergence of a Bayesian Iterative Error-Correction Procedure on a Noisy Shift register Sequence. Search on Bibsonomy EUROCRYPT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Algorithms, Cryptanalysis, Convergence, Decoding, Shift registers, Fast correlation attack
21Hussein M. Alnuweiri, Viktor K. Prasanna Fast Image Labeling Using Local Operators on Mesh-Connected Computers. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF bit-serial processors, local operators, asymptotic time complexity, very fast shift registers, parallel algorithm, parallel algorithms, computational complexity, computational complexity, parallel architectures, parallel architectures, computerised picture processing, computerised picture processing, stacks, communication links, mesh-connected computers, image labeling
21Vicki H. Allan, Robert A. Mueller Compaction with General Timing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF general synchronous timing, microcode generation systems, branch delays, volatile registers, microoperations, multiple microinstructions, clocked microarchitectures, compilers, synchronisation, program compilers, microprogramming, target architecture, data-dependency graphs
19Weifeng Xu, Russell Tessier Tetris-XL: A performance-driven spill reduction technique for embedded VLIW processors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Very Long Instruction Word (VLIW) processor, instruction level parallelism, Register pressure
19Minwook Ahn, Yunheung Paek Register coalescing techniques for heterogeneous register architecture with copy sifting. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, compiler, Register allocation, embedded processors, register coalescing
19Guang Zeng, Yang Yang, Wenbao Han, Shuqin Fan Word Oriented Cascade Jump sigma-LFSR. Search on Bibsonomy AAECC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cascade Jump LFSR, ??LFSR, Fast Software Encryption, Stream Cipher, Linear Feedback Shift Register(LFSR)
19Praveen Raghavan, Francky Catthoor SARA: StreAm register allocation. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stream register, low power, register allocation, spatial locality
19Jyh-Shian Wang, I-Wei Wu, Yu-Sheng Chen, Jean Jyh-Jiun Shann, Wei-Chung Hsu Reducing Code Size by Graph Coloring Register Allocation and Assignment Algorithm for Mixed-Width ISA Processor. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Smita Krishnaswamy, Igor L. Markov, John P. Hayes Improving testability and soft-error resilience through retiming. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF testability, soft errors, retiming
19Suhyun Kim, Soo-Mook Moon Rotating register allocation with multiple rotating branches. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rotating register, register allocation, software pipelining
19Yehuda Afek, Iftah Gamzu, Irit Levy, Michael Merritt, Gadi Taubenfeld Group Renaming. Search on Bibsonomy OPODIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Xuan Guan, Yunsi Fei Reducing power consumption of embedded processors through register file partitioning and compiler support. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Viswanathan Subramanian, Arun K. Somani Conjoined Pipeline: Enhancing Hardware Reliability and Performance through Organized Pipeline Redundancy. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Haluk Rahmi Topcuoglu, Betül Demiröz, Mahmut T. Kandemir Solving the Register Allocation Problem for Embedded Systems Using a Hybrid Evolutionary Algorithm. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Minwook Ahn, Jooyeon Lee, Yunheung Paek Optimistic coalescing for heterogeneous register architectures. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF heterogeneous register architecture, register coalesing, compiler, register allocation, embedded processors
19Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 Early Register Release for Out-of-Order Processors with RegisterWindows. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Dirk Stegemann Extended BDD-Based Cryptanalysis of Keystream Generators. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2007 DBLP  DOI  BibTeX  RDF F-FCSR, cryptanalysis, Stream cipher, BDD, Trivium, Grain
19JongSoo Park, Sung-Boem Park, James D. Balfour, David Black-Schaffer, Christos Kozyrakis, William J. Dally Register pointer architecture for efficient embedded processors. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Xingwu Liu, Zhiwei Xu 0002, Juhua Pu Revisiting the Impossibility for Boosting Service Resilience. Search on Bibsonomy TAMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Neng-Fa Zhou A Register-Free Abstract Prolog Machine with Jumbo Instructions. Search on Bibsonomy ICLP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Faith Ellen, Panagiota Fatourou, Eric Ruppert The Space Complexity of Unbounded Timestamps. Search on Bibsonomy DISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF lower bounds, anonymous, shared memory, timestamps
19Hirofumi Iwato, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A low power VLIW processor generation method by means of extracting non-redundant activation conditions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, ASIP, clock gating, VLIW processor
19Philip Brisk, Ajay Kumar Verma, Paolo Ienne Optimal polynomial-time interprocedural register allocation for high-level synthesis and ASIP design. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yong Ki Lee, Ingrid Verbauwhede A Compact Architecture for Montgomery Elliptic Curve Scalar Multiplication Processor. Search on Bibsonomy WISA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compact Elliptic Curve Processor, Montgomery Scalar Multiplication
19Yukihide Kohira, Atsushi Takahashi 0001 A Fast Register Relocation Method for Circuit Size Reduction in Generalized-Synchronous Framework. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Mallik Kandala, Wei Zhang 0002, Laurence Tianruo Yang An Area-Efficient Approach to Improving Register File Reliability against Transient Errors. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19James Aspnes, Faith Ellen Fich, Eric Ruppert Relationships between broadcast and shared memory in reliable anonymous distributed systems. Search on Bibsonomy Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Simulations, Robustness, Broadcast, Anonymous, Shared memory
19Cheng-Yi Xiong, Jin-Wen Tian, Jian Liu 0011 A note on "Flipping structure: an efficient VLSI architecture for lifting-based discrete wavelet Transform". Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jiachun Wu, Hao Zhang, Jianxin Liao, Xiaomin Zhu 0002, Bo Yang Modeling and Analysis for an Enhanced Three-Tier Dynamic Location Management in 3G. Search on Bibsonomy WASA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Bakhtiar Affendi Rosdi, Atsushi Takahashi 0001 Low area pipelined circuits by multi-clock cycle paths and clock scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Philipp Rohde On the µ-Calculus Augmented with Sabotage. Search on Bibsonomy FoSSaCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Abhinav Das, Rao Fu, Antonia Zhai, Wei-Chung Hsu Issues and Support for Dynamic Register Allocation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh State re-encoding for peak current minimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF peak current, sequential circuit synthesis, finite state machine
19Sultan Al-Hinai, Lynn Margaret Batten, Bernard D. Colbert, Kenneth Koon-Ho Wong Algebraic Attacks on Clock-Controlled Stream Ciphers. Search on Bibsonomy ACISP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF irregular clocking, stream cipher, linear feedback shift register, algebraic attack, clock control
19Panagiota Fatourou, Nikolaos D. Kallimanis Single-scanner multi-writer snapshot implementations are fast! Search on Bibsonomy PODC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF atomic objects, multi-writer, single-scanner, shared memory systems, asynchronous, wait-free, snapshot
19Florent Bouchez, Alain Darte, Christophe Guillon, Fabrice Rastello Register Allocation: What Does the NP-Completeness Proof of Chaitin et al. Really Prove? Or Revisiting Register Allocation: Why and How. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Burkhard Englert On the Cost of Uniform Protocols Whose Memory Consumption Is Adaptive to Interval Contention. Search on Bibsonomy OPODIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Christian El Salloum, Andreas Steininger, Peter Tummeltshammer, Werner Harter Recovery Mechanisms for Dual Core Architectures. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Panagiota Fatourou, Faith Ellen Fich, Eric Ruppert Time-space tradeoffs for implementations of snapshots. Search on Bibsonomy STOC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF anonymous processes, distributed computing, lower bound, shared memory, wait-free, snapshot, time-space tradeoff
Displaying result #201 - #300 of 2526 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license